The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "EURO-DAC"( http://dblp.L3S.de/Venues/EURO-DAC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/eurodac

Publication years (Num. hits)
1990 (121) 1991 (101) 1992 (121) 1993 (91) 1994 (107) 1995 (94) 1996 (87)
Publication types (Num. hits)
inproceedings(715) proceedings(7)
Venues (Conferences, Journals, ...)
EURO-DAC(722)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 94 occurrences of 55 keywords

Results
Found 722 publication records. Showing 722 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Gerald Musgrave (eds.) Proceedings of the conference on European design automation, EURO-DAC '92, Hamburg, Germany, September 7-10, 1992 Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  BibTeX  RDF
1Tetsushi Koide, Shin'ichi Wakabayashi, Noriyoshi Yoshida An optimal channel pin assignment with multiple intervals for building block layout. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Masaharu Imai, Jun Sato, Alauddin Alomary, Nobuyuki Hikichi An integer programming approach to instruction implementation method selection problem. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Massimo Bombana, Giacomo Buonanno, Patrizia Cavalloro, Donatella Sciuto, Giuseppe Zaza A multi level testability assistant for VLSI design. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz, Lakshmi N. Reddy, Sudhakar M. Reddy SPADES: a simulator for path delay faults in sequential circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1A. J. W. M. ten Berg Flexible controlpath microarchitecture synthesis based on artificial intelligence. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Yi-Min Jiang, Tsing-Fa Lee, TingTing Hwang, Yaun-Long Lin Performance-driven interconnection optimization for microarchitecture synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Bogdan J. Falkowski, Ingo Schäfer, Marek A. Perkowski Calculation of the Rademacher-Walsh spectrum from a reduced representation of Boolean functions. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Henning Spruth, Georg Sigl Parallel algorithms for slicing based final placement. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Shen Lin 0001, Ernest S. Kuh Transient simulation of lossy coupled transmission lines. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Nagisa Ishiura, Shuzo Yajima Linear time fault simulation algorithm using a content addressable memory. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Michiel Kraak, Ralph H. J. M. Otten Tackling cost optimization in testable design by forward inferencing. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1A. Stoll, Jörg Biesenack, Steffen Rumler Flexible timing specification in a VHDL synthesis subset. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Alex N. D. Zamfirescu, Cary Ussery VHDL and fuzzy logic if-then rules. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Donald A. Lobo, Barry M. Pangrle Generating pipelined datapaths using reduction techniques to shorten critical paths. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Soohong Kim, Robert Michael Owens, Mary Jane Irwin PERFLEX: a performance driven module generator. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1M. T. L. Schaefer, W. U. Klein Correctness verification of concurrent controller specifications. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Gabriele Umbreit Providing a VHDL-interface for proof systems. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Udo Mahlstedt, Matthias Heinitz, Jürgen Alt Test generation for IDDQ testing and leakage fault detection in CMOS circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Peter Windirsch, Hans-Jürgen Herpel, A. Laudenbach, Manfred Glesner Application-specific microelectronics for mechatronic systems. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Julio Septién, Daniel Mozos, Francisco Tirado, Román Hermida, Milagros Fernández Heuristics for branch-and-bound global allocation. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Bernd Becker 0001, Rolf Drechsler A time optimal robust path-delay-fault self-testable adder. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Marc Laurentin, Alain Greiner, Roland Marbot DESB, a functional abstractor for CMOS VLSI circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Matthias Mutz Verification of digital circuits based on formal semantics of a hardware description language. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Kei-Yong Khoo, Jason Cong A fast multilayer general area router for MCM designs. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Robert A. Cottrell, Kevin Nolan, Mark Brown VHDL analog extensions: process, issues and status. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Daniel C. Liebisch, Adidev Jain JESSI COMMON FRAMEWORK Design Management: the means to configuration and execution of the design process. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Wolfgang Ecker Towards a common RT-level subset of VHDL. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Ching Ping Wu, Chung Len Lee 0001, Wen-Zen Shen SEESIM - a fast synchronous sequential circuit fault simulator with single event equivalence. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Haigeng Wang, Nikil D. Dutt, Alexandru Nicolau Harmonic scheduling of linear recurrences for digital filter design. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Nadine Azémard, V. Bonzom, Daniel Auvergne P.SIZE: a sizing aid for optimized designs. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Sundarar Mohan, Pinaki Mazumder Wolverines: standard cell placement on a network of workstations. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Jaijeet S. Roychowdhury, A. Richard Newton, Donald O. Pederson An exact analytic technique for simulating uniform RC lines. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Christoph Hübel, Detlev Ruland, Ernst Siepmann On modeling integrated design environments. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Jacques Rouillard Analysis of user requirements. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Edgar Bolender, Hans Martin Lipp The exact solution of timing verification. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Djamel Boussebha, Norbert Giambiasi, Janine Magnier Temporal verification of behavioral descriptions in VHDL. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Michael Pabst, Tiziano Villa, A. Richard Newton Experiments on the synthesis and testability of non-scan finite state machines. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Debaditya Mukherjee, Massoud Pedram, Melvin A. Breuer Minimal area merger of finite state machine controllers. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Frank Buijs ALU synthesis from HDL descriptions to optimized multi-level logic. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Thomas Pförtner, Stefan Kiefl, Reimund Dachauer Embedded pin assignment for top down system design. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Wei Wan, Marek A. Perkowski A new approach to the decomposition of incompletely specified multi-output functions based on graph coloring and local transformations and its application to FPGA mapping. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Lars W. Hagen, Fadi J. Kurdahi, Champaka Ramachandran, Andrew B. Kahng On the intrinsic rent parameter and spectra-based partitioning methodologies. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Rafael Peset Llopis, Hans G. Kerkhoff A fast and accurate characterization method for full-CMOS circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Mehrdad Nourani, Christos A. Papachristou, Yoshiyasu Takefuji A neural network based algorithm for the scheduling problem in high-level synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Paul L. Harper, Ken Scott Towards a standard VHDL synthesis package. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Haidar Harmanani, Christos A. Papachristou, Scott Chiu, Mehrdad Nourani SYNTEST: an environment for system-level design for test. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1S. Amadori, P. Coerezza Design of complex systems with a VHDL based methodology. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Uwe Hunzelmann, Wolfgang Wilkes, Gunter Schlageter Design of a tool interface for integrated CAD-environments. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Juan Carlos López 0001, Margarida F. Jacome, Stephen W. Director Design assistance for CAD frameworks. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1David B. Bernstein, Rodney Farrow, David Charness Challenges in the analysis of VHDL. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Sridhar Narayanan, Charles Njinda, Rajesh Gupta 0003, Melvin A. Breuer SIESTA: a multi-facet scan design system. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Norbert Wehn, Hans-Jürgen Herpel, Thomas Hollstein, Peter Poechmueller, Manfred Glesner High-level synthesis in a rapid-prototype environment for mechatronic systems. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Andrew Guyler VHDL 1076-1992 languages changes. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Christel Oczko, Michael W. Nitsche Multi-kernel simulation description within VHDL. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Didier Crestani, A. Aguila, M.-H. Gentil, P. Chardon, Christian Durante Automatic partitioning for deterministic test. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Wolfgang Ecker, Sabine März Subtype concept of VHDL for synthesis constraints. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Hugo De Man Design technology research for the nineties: more of the same? Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Alain Debreil, Philippe Oddo Synchronous design in VHDL. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Helmut E. Graeb, Claudia U. Wieser, Kurt Antreich Design verification considering manufacturing tolerances by using worst-caste distances. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1O. Pulkkinen, Klaus Kronlöf Integration of SDL and VHDL for high-level digital design. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Loganath Ramachandran, Frank Vahid, Sanjiv Narayan, Daniel D. Gajski Semantics and synthesis of signals in behavioral VHDL. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Wolf-Dieter Tiedemann An approach to multi-paradigm controller synthesis from timing diagram specifications. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Sy-Yen Kuo Locating logic design errors via test generation and don't-care propagation. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Sanjiv Narayan, Daniel D. Gajski System clock estimation based on clock slack minimization. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1B. Lutter, Wolfgang Glunz, Franz-Josef Rammig Using VHDL for simulation of SDL specifications. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Gerhard Scholz, Wolfgang Wilkes Information modelling of folded and unfolded design. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Jens Lienig, Krishnaiyan Thulasiraman, M. N. S. Swamy Routing algorithms for multi-chip modules. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Mark Brown VHDL intermediate form standardization: process, issues and status. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Farhad Aghadasi Asynchronous state machine synthesis using data driven clocks. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Klaus D. Müller-Glaser, Jürgen Bortolazzi, Yankin Tanurhan Towards a requirements definition, specification and system design environment. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Champaka Ramachandran, Fadi J. Kurdahi Combined topological and functionality based delay estimation using a layout-driven approach for high level applications. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Hideo Tamamoto, Hiroshi Yokoyama, Yuichi Narita Random current testing for CMOS logic circuits by monitoring a dynamic power supply current. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Bernd Becker 0001, Paul Molitor A performance driven generator for efficient testable conditional-sum-adders. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Eric Felt, Edoardo Charbon, Enrico Malavasi, Alberto L. Sangiovanni-Vincentelli An efficient methodology for symbolic compaction of analog IC's with multiple symmetry constraints. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Tadeusz Luba, K. Górski, Leszek B. Wronski ROM-based finite state machines with PLA address modifiers. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Adam Pawlak Selected aspects of component modeling. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Kuang-Chien Chen, Jason Cong Maximal reduction of lookup-table based FPGAs. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1C.-J. Richard Shi, Janusz A. Brzozowski Efficient constrained encoding for VLSI sequential logic synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Weiwei Mao, Michael D. Ciletti A quantitative measure of robustness for delay fault testing. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Min Huang, M. A. Styblinski A generic software system for drift reliability optimization of VLSI circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Ayman I. Kayssi, Karem A. Sakallah Delay macromodels for the timing analysis of GaAs DCFL. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Clay S. Gloster Jr., Franc Brglez Cellular scan test generation for sequential circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Arno Kunzmann Generation of deterministic test patterns by minimal basic test sets. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Bernd Becker 0001, Ralf Hahn, Rolf Krieger Fast fault simulation in combinational circuits: an efficient data structure, dynamic dominators and refined check-up. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Prathima Agrawal, Vishwani D. Agrawal, Sharad C. Seth DynaTAPP: dynamic timing analysis with partial path activation in sequential circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Stephen E. Lim, David C. Hendry, Ping F. Yeung Experiences and issues in VHDL-based synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Michael Jacobsen, Wolfgang Nebel VHDL for high speed desktop video ICs: experience with replacement of other simulator. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Christian Berthet, Jérôme Rampon, L. Sponga Synthesis of VHDL arrays on RAM cells. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Hamid Savoj, Mário J. Silva, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Boolean matching in logic synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Uwe Gläser, Heinrich Theodor Vierhaus MILEF: an efficient approach to mixed level automatic test pattern generation. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Henrik Esbensen A genetic algorithm for macro cell placement. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Sungho Kang, Stephen A. Szygenda New design error modeling and metrics for design validation. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Petru Eles, Krzysztof Kuchcinski, Zebo Peng, Marius Minea Compiling VHDL into a high-level synthesis design representation. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Maria Brielmann, Elisabeth Kupitz Representing the hardware design process by a common data schema. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Ronald B. Stewart, Véronique Anjubault, Philippe Garcin, Jacques Benkoski Automatic import of custom designs into a cell-based environment using switch-level analysis and circuit simulation. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Leszek J. Opalski, M. A. Styblinski GOSSIP: a generic system for statistical circuit design. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Gianpiero Cabodi, Paolo Camurati, Fulvio Corno, Paolo Prinetto, Matteo Sonza Reorda Cross-fertilizing FSM verification techniques and sequential diagnosis. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Deborah C. Wang, C. Bernard Shung Crossing distribution. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
1Nand Kumar, Ranga Vemuri Finite state machine verification on MIMD machines. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 722 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license