The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "FPL"( http://dblp.L3S.de/Venues/FPL )

URL (DBLP): http://dblp.uni-trier.de/db/conf/fpga

Publication years (Num. hits)
1992 (23) 1993-1994 (65) 1995 (47) 1996 (51) 1997 (52) 1998 (69) 1999 (66) 2000 (102) 2001 (75) 2002 (136) 2003 (147) 2004 (178) 2005 (149) 2006 (183) 2007 (162) 2008 (154) 2009 (142) 2010 (112) 2011 (101) 2012 (142) 2013 (139) 2014 (131) 2015 (99) 2016 (101) 2017 (111) 2018 (86) 2019 (72) 2020 (65) 2021 (83) 2022 (78) 2023 (65)
Publication types (Num. hits)
inproceedings(3155) proceedings(31)
Venues (Conferences, Journals, ...)
FPL(3186)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 210 occurrences of 148 keywords

Results
Found 3186 publication records. Showing 3186 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Di Wu, Jin Chen, Wei Cao 0002, Lingli Wang A Novel Low-Communication Energy-Efficient Reconfigurable CNN Acceleration Architecture. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Anuj Vaishnav, Khoa Dang Pham, Dirk Koch A Survey on FPGA Virtualization. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Thinh Hung Pham, Alexander Fell, Arnab Kumar Biswas, Siew-Kei Lam, Nandeesha Veeranna CIDPro: Custom Instructions for Dynamic Program Diversification. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1François Serre, Markus Püschel A DSL-Based FFT Hardware Generator in Scala. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Shenghsun Cho, Michael Ferdman, Peter A. Milder FPGASwarm: High Throughput Model Checking on FPGAs. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Athanasios Stratikopoulos, Christos Kotselidis, John Goodacre, Mikel Luján FastPath: Towards Wire-Speed NVMe SSDs. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Debdeep Mukhopadhyay, Debapriya Basu Roy Revisiting FPGA Implementation of Montgomery Multiplier in Redundant Number System for Efficient ECC Application in GF(p). Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Marie Nguyen, James C. Hoe Time-Shared Execution of Realtime Computer Vision Pipelines by Dynamic Partial Reconfiguration. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mohamed S. Abdelfattah, David Han, Andrew Bitar, Roberto DiCecco, Shane O'Connell, Nitika Shanker, Joseph Chu, Ian Prins, Joshua Fender, Andrew C. Ling, Gordon R. Chiu DLA: Compiler and FPGA Overlay for Neural Network Inference Acceleration. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Julian Oppermann, Melanie Reuter-Oppermann, Lukas Sommer, Oliver Sinnen, Andreas Koch 0001 Dependence Graph Preprocessing for Faster Exact Modulo Scheduling in High-Level Synthesis. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Alex Rodionov, Jonathan Rose Automatic Topology Optimization for FPGA Interconnect Synthesis. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Junsong Wang, Qiuwen Lou, Xiaofan Zhang 0001, Chao Zhu, Yonghua Lin, Deming Chen Design Flow of Accelerating Hybrid Extremely Low Bit-Width Neural Network in Embedded FPGA. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Philippos Papaphilippou, Wayne Luk Accelerating Database Systems Using FPGAs: A Survey. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Muhsen Owaida, Gustavo Alonso Application Partitioning on FPGA Clusters: Inference over Decision Tree Ensembles. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1 28th International Conference on Field Programmable Logic and Applications, FPL 2018, Dublin, Ireland, August 27-31, 2018 Search on Bibsonomy FPL The full citation details ... 2018 DBLP  BibTeX  RDF
1Habib ul Hasan Khan, Diana Göhringer Cycle-Accurate and Cycle-Reproducible Debugging of Embedded Designs Using Artificial Intelligence. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jiandong Mu, Wei Zhang 0012, Hao Liang 0003, Sharad Sinha A Collaborative Framework for FPGA-based CNN Design Modeling and Optimization. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Zhenhao He, David Sidler, Zsolt István, Gustavo Alonso A Flexible K-Means Operator for Hybrid Databases. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Lukas Kekely, Martin Spinler, Stepan Friedl, Jiri Sikora, Jan Korenek Accelerated Wire-Speed Packet Capture at 200 Gbps. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Luís Fiolhais, Horácio C. Neto An Efficient Exact Fused Dot Product Processor in FPGA. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Nikolaos Alachiotis 0001, Charalampos Vatsolakis, Grigorios Chrysos 0001, Dionisios N. Pnevmatikatos Accelerated Inference of Positive Selection on Whole Genomes. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yongming Shen 0001, Tianchu Ji, Michael Ferdman, Peter A. Milder Medusa: A Scalable Interconnect for Many-Port DNN Accelerators and Wide DRAM Controller Interfaces. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Nina Engelhardt, C.-H. Dominic Hung, Hayden Kwok-Hay So Performance-Driven System Generation for Distributed Vertex-Centric Graph Processing on Multi-FPGA Systems. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Michael Barrow, Steven M. Burns, Ryan Kastner A FPGA Accelerator for Real-Time 3D Non-rigid Registration Using Tree Reweighted Message Passing and Dynamic Markov Random Field Generation. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Bogdan Pasca 0001, Martin Langhammer Activation Function Architectures for FPGAs. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Declan Byrne, Ronan Farrell, Sidath Madhuwantha, Miriam Leeser, John Dooley Digital Pre-distortion Implemented Using FPGA. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tyrone Sherwin, Kevin I-Kai Wang, Prabu Thiagaraj, Oliver Sinnen Median Filtering with Very Large Windows: SKA Algorithms for FPGAs. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ruizhe Zhao, Ho-Cheung Ng, Wayne Luk, Xinyu Niu Towards Efficient Convolutional Neural Network for Domain-Specific Applications on FPGA. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jason Cong, Licheng Guo, Po-Tsang Huang, Peng Wei 0004, Tianhe Yu SMEM++: A Pipelined and Time-Multiplexed SMEM Seeding Accelerator for Genome Sequencing. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Dries Vercruyce, Elias Vansteenkiste, Dirk Stroobandt Hierarchical Force-Based Block Spreading for Analytical FPGA Placement. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Lake Bu, Michel A. Kinsy Weighted Group Decision Making Using Multi-identity Physical Unclonable Functions. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Dongjoon Park, Yuanlong Xiao, Nevo Magnezi, André DeHon Case for Fast FPGA Compilation Using Partial Reconfiguration. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Andreas Oeldemann, Thomas Wild, Andreas Herkersdorf FlueNT10G: A Programmable FPGA-based Network Tester for Multi-10-Gigabit Ethernet. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yaman Umuroglu, Lahiru Rasnayake, Magnus Själander BISMO: A Scalable Bit-Serial Matrix Multiplication Overlay for Reconfigurable Computing. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Umar Ibrahim Minhas, Roger F. Woods, Georgios Karakonstantis Facilitating Easier Access to FPGAs in the Heterogeneous Cloud Ecosystems. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yehdhih Ould Mohammed Moctar, Mirjana Stojilovic, Philip Brisk Deterministic Parallel Routing for FPGAs Based on Galois Parallel Execution Model. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hiroki Nakahara, Masayuki Shimoda, Shimpei Sato A Demonstration of FPGA-Based You Only Look Once Version2 (YOLOv2). Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ahmet Can Mert, Hasan Azgin, Ercan Kalali, Ilker Hamzaoglu Efficient Multiple Constant Multiplication Using DSP Blocks in FPGA. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mário P. Véstias, Rui Policarpo Duarte, José T. de Sousa, Horácio C. Neto Lite-CNN: A High-Performance Architecture to Execute CNNs in Low Density FPGAs. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Alexandros Kouris, Stylianos I. Venieris, Christos-Savvas Bouganis Cascade^CNN: Pushing the Performance Limits of Quantisation in Convolutional Neural Networks. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mustafa Abbas, Vaughn Betz Latency Insensitive Design Styles for FPGAs. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Simone Casale Brunet, Thierry Schüpbach, Nicolas Guex, Christian Iseli, Alan J. Bridge, Dmitry Kuznetsov, Christian J. A. Sigrist, Phillippe Lemercier, Ioannis Xenarios, Endri Bezati Towards in the Field Fast Pathogens Detection Using FPGAs. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Behzad Salami 0001, Osman S. Ünsal, Adrián Cristal A Demo of FPGA Aggressive Voltage Downscaling: Power and Reliability Tradeoffs. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mihailo Isakov, Alan Ehret, Michel A. Kinsy ClosNets: Batchless DNN Training with On-Chip a Priori Sparse Neural Topologies. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Robert Hale, Brad L. Hutchings Enabling Low Impact, Rapid Debug for Highly Utilized FPGA Designs. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jiayi Sheng, Chen Yang 0010, Martin C. Herbordt High Performance Communication on Reconfigurable Clusters. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Charles Lo, Paul Chow Multi-fidelity Optimization for High-Level Synthesis Directives. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Al-Shahna Jamal, Jeffrey Goeders, Steven J. E. Wilton An FPGA Overlay Architecture Supporting Rapid Implementation of Functional Changes during On-Chip Debug. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Murad Qasaimeh, Joseph Zambreno, Phillip H. Jones A Runtime Configurable Hardware Architecture for Computing Histogram-Based Feature Descriptors. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1João D. Lopes, José T. de Sousa, Horácio C. Neto, Mário P. Véstias K-means clustering on CGRA. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Simon Joel Schmidt, David Boland Dynamic bitwidth assignment for efficient dot products. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Nikolaos Alachiotis 0001, Dimitris Theodoropoulos, Dionisios N. Pnevmatikatos Versatile deployment of FPGA accelerators in disaggregated data centers: A bioinformatics case study. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1William Diehl, Farnoud Farahmand, Panasayya Yalla, Jens-Peter Kaps, Kris Gaj Comparison of hardware and software implementations of selected lightweight block ciphers. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kizheppatt Vipin, Jan Gray, Nachiket Kapre Enabling partial reconfiguration and low latency routing using segmented FPGA NoCs. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Stelios Mavridis, Emmanouil Pavlidakis, Ioannis Stamoulias, Christos Kozanitis, Nikolaos Chrysos, Christoforos Kachris, Dimitrios Soudris, Angelos Bilas VineTalk: Simplifying software access and sharing of FPGAs in datacenters. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Benedikt Janßen, Pascal Zimprich, Michael Hübner 0001 A dynamic partial reconfigurable overlay concept for PYNQ. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Brad L. Hutchings, Michael J. Wirthlin Rapid implementation of a partially reconfigurable video system with PYNQ. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ephrem Wu, Xiaoqian Zhang, David Berman, Inkeun Cho A high-throughput reconfigurable processing array for neural networks. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Brice Colombier, Ugo Mureddu, Marek Laban, Oto Petura, Lilian Bossuet, Viktor Fischer Complete activation scheme for FPGA-oriented IP cores design protection. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chiharu Tsuruta, Takahiro Kaneda, Naoki Nishikawa, Hideharu Amano Accelerator-in-switch: A framework for tightly coupled switching hub and an accelerator with FPGA. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Grace Zgheib, Paolo Ienne Evaluating FPGA clusters under wide ranges of design parameters. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Pavan Kumar Bussa, Jeffrey Goeders, Steven J. E. Wilton Accelerating in-system FPGA debug of high-level synthesis circuits using incremental compilation techniques. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Adewale Adetomi, Godwin Enemali, Tughrul Arslan Relocation-aware communication network for circuits on Xilinx FPGAs. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Henry Block, Tsutomu Maruyama An FPGA hardware implementation approach for a phylogenetic tree reconstruction algorithm with incremental tree optimization. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ryan A. Cooke, Suhaib A. Fahmy In-network online data analytics with FPGAs. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Conghui He, Haohuan Fu, Wayne Luk, Weijia Li, Guangen Yang Exploring the potential of reconfigurable platforms for order book update. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Pedro Maat C. Massolino, Lejla Batina, Ricardo Chaves, Nele Mentens Area-optimized montgomery multiplication on IGLOO 2 FPGAs. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ryouhei Maeda, Tsutomu Maruyama An implementation method of poisson image editing on FPGA. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yingyi Luo, Xianshan Wen, Kazutomo Yoshii, Seda Ogrenci Memik, Gokhan Memik, Hal Finkel, Franck Cappello Evaluating irregular memory access on OpenCL FPGA platforms: A case study with XSBench. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lester Kalms, Diana Göhringer Exploration of OpenCL for FPGAs using SDAccel and comparison to GPUs and multicore CPUs. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Artur Podobas, Hamid Reza Zohouri, Naoya Maruyama, Satoshi Matsuoka Evaluating high-level design strategies on FPGAs for high-performance computing. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ted Xie, Vinh Dang, Jack Wadden, Kevin Skadron, Mircea Stan REAPR: Reconfigurable engine for automata processing. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Subho S. Banerjee, Mohamed El-Hadedy 0001, Ching Y. Tan, Zbigniew T. Kalbarczyk, Steven S. Lumetta, Ravishankar K. Iyer On accelerating pair-HMM computations in programmable hardware. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jinnan Ding, Shuguo Li Determine the carry bit of carry-sum generated by unsigned MBE multiplier without final addition. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lingkan Gong, Alexander Kroh, Dimitris Agiakatsikas, Nguyen T. H. Nguyen, Ediz Cetin, Oliver Diessel Reliable SEU monitoring and recovery using a programmable configuration controller. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tobias Kenter, Jens Förstner, Christian Plessl Flexible FPGA design for FDTD using OpenCL. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yifeng Mo, Shuguo Li Fast RNS implementation of elliptic curve point multiplication in GF(p) with selected base pairs. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lijuan Li 0002, Shuguo Li High throughput AES encryption/decryption with efficient reordering and merging techniques. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Konstantinos Boikos, Christos-Savvas Bouganis A high-performance system-on-chip architecture for direct tracking for SLAM. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Stephan Nolting, Florian Giesemann, Julian Hartig, Achim Schmider, Guillermo Payá Vayá Application-specific soft-core vector processor for advanced driver assistance systems. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sadegh Yazdanshenas, Vaughn Betz Quantifying and mitigating the costs of FPGA virtualization. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Oron Port, Yoav Etsion DFiant: A dataflow hardware description language. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat ARMHEx: A hardware extension for DIFT on ARM-based SoCs. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ioannis Parnassos, Nikolaos Bellas, Nikolaos Katsaros, Nikolaos Patsiatzis, Athanasios Gkaras, Konstantinos Kanellis, Christos D. Antonopoulos, Michalis Spyrou, Manolis Maroudas A programming model and runtime system for approximation-aware heterogeneous computing. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Bruno da Silva 0001, Federico Domínguez, An Braeken, Abdellah Touhafi A partial reconfiguration based microphone array network emulator. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kevin Nam, Blair Fort, Stephen Dean Brown FISH: Linux system calls for FPGA accelerators. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Muhsen Owaida, Hantian Zhang, Ce Zhang 0001, Gustavo Alonso Scalable inference of decision tree ensembles: Flexible design for CPU-FPGA platforms. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hongxiang Fan, Xinyu Niu, Qiang Liu 0011, Wayne Luk F-C3D: FPGA-based 3-dimensional convolutional neural network. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tianqi Gao, Jungwook Choi, Shang-nien Tsai, Rob A. Rutenbar Toward a pixel-parallel architecture for graph cuts inference on FPGA. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Weina Lu, Wenyan Lu, Jing Ye 0001, Yu Hu 0001, Xiaowei Li 0001 Leveraging FVT-margins in design space exploration for FFGA-based CNN accelerators. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tingyuan Liang, Liang Feng 0001, Sharad Sinha, Wei Zhang 0012 PAAS: A system level simulator for heterogeneous computing architectures. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1James Stanley Targett, Peter D. Düben, Wayne Luk Validating optimisations for chaotic simulations. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Farzad Fatollahi-Fard, David Donofrio, John Shalf, John D. Leidel, Xi Wang 0009, Yong Chen 0001 OpenSoC system architect: An open toolkit for building soft-cores on FPGAs. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Thomas Townsend, Brent E. Nelson Vivado design interface: An export/import capability for Vivado FPGA designs. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jiayi Sheng, Chen Yang 0010, Ahmed Sanaullah, Michael Papamichael, Adrian M. Caulfield, Martin C. Herbordt HPC on FPGA clouds: 3D FFTs and implications for molecular dynamics. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Thomas B. Preußer Generic and universal parallel matrix summation with a flexible compression goal for Xilinx FPGAs. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jose Raul Garcia Ordaz, Dirk Koch Making a case for an ARM Cortex-A9 CPU interlay replacing the NEON SIMD unit. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Junyi Liu, John Wickerson, George A. Constantinides Tile size selection for optimized memory reuse in high-level synthesis. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Bruno da Silva 0001, Federico Domínguez, An Braeken, Abdellah Touhafi Demonstration of a partial reconfiguration based microphone array network emulator. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mitra Purandare, Raphael Polig, Christoph Hagleitner Accelerated analysis of Boolean gene regulatory networks. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 3186 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license