|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 731 occurrences of 466 keywords
|
|
|
Results
Found 747 publication records. Showing 746 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
18 | Thomas Grün, Mark A. Hillebrand |
NAS Integer Sort on Multi-threaded Shared Memory Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par '98 Parallel Processing, 4th International Euro-Par Conference, Southampton, UK, September 1-4, 1998, Proceedings, pp. 999-1009, 1998, Springer, 3-540-64952-2. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
18 | Teresa L. Johnson, Matthew C. Merten, Wen-mei W. Hwu |
Run-Time Spatial Locality Detection and Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 30, Research Triangle Park, North Carolina, USA, December 1-3, 1997, pp. 57-64, 1997, ACM/IEEE Computer Society, 0-8186-7977-8. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
prefetching, data cache, cache management, spatial locality, block size |
18 | Quinn Jacobson, Eric Rotenberg, James E. Smith 0001 |
Path-Based Next Trace Prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 30, Research Triangle Park, North Carolina, USA, December 1-3, 1997, pp. 14-23, 1997, ACM/IEEE Computer Society, 0-8186-7977-8. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Next Trace Prediction, Multiple Branch Prediction, Return History Stack, Path-Based Prediction, Trace Cache |
18 | Mahesh Kalyanakrishnan, Ravishankar K. Iyer, Jaqdish U. Patel |
Reliability of Internet Hosts - A Case Study from the End User's Perspective. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCCN ![In: Proceedings of the International Conference On Computer Communications and Networks (ICCCN 1997), September 22-25, 1997 Las Vegas, NV, USA, pp. 418-423, 1997, IEEE Computer Society, 0-8186-8186-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
18 | Eric Rotenberg, Steve Bennett, James E. Smith 0001 |
Trace Cache: A Low Latency Approach to High Bandwidth Instruction Fetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 29, Paris, France, December 2-4, 1996, pp. 24-35, 1996, ACM/IEEE Computer Society, 0-8186-7641-8. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
multiple branch prediction, superscalar processors, instruction cache, trace cache, instruction fetching |
18 | Richard Uhlig, David Nagle, Trevor N. Mudge, Stuart Sechrest, Joel S. Emer |
Instruction Fetching: Coping with Code Bloat. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 22nd Annual International Symposium on Computer Architecture, ISCA '95, Santa Margherita Ligure, Italy, June 22-24, 1995, pp. 345-356, 1995, ACM, 0-89791-698-0. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
18 | Beng-Hong Lim, Anant Agarwal |
Reactive Synchronization Algorithms for Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-VI Proceedings - Sixth International Conference on Architectural Support for Programming Languages and Operating Systems, San Jose, California, USA, October 4-7, 1994., pp. 25-35, 1994, ACM Press, 0-89791-660-3. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
18 | George Panagopoulos, Christos Faloutsos |
Bit-Sliced Signature Files for Very Large Text Databases an a Parallel Machine Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EDBT ![In: Advances in Database Technology - EDBT'94. 4th International Conference on Extending Database Technology, Cambridge, United Kingdom, March 28-31, 1994, Proceedings, pp. 379-392, 1994, Springer, 3-540-57818-8. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
18 | Kazuaki J. Murakami, Naohiko Irie, Morihiro Kuga, Shinji Tomita |
SIMP (Single Instruction stream/Multiple Instruction Pipelining): A Novel High-Speed Single-Processor Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 16th Annual International Symposium on Computer Architecture. Jerusalem, Israel, June 1989, pp. 78-85, 1989, ACM, 0-89791-319-1. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
9 | Azarias Reda, Brian D. Noble, Yidnekachew Haile |
Distributing private data in challenged network environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WWW ![In: Proceedings of the 19th International Conference on World Wide Web, WWW 2010, Raleigh, North Carolina, USA, April 26-30, 2010, pp. 801-810, 2010, ACM, 978-1-60558-799-8. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
band-width, ethiopia, limited connectivity, www access, caching, prefetching, latency, developing regions, personal data, sms |
9 | Arun Kumar, Ashok Anand, Aditya Akella, Athula Balachandran, Vyas Sekar, Srinivasan Seshan |
Flexible multimedia content retrieval using InfoNames. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGCOMM ![In: Proceedings of the ACM SIGCOMM 2010 Conference on Applications, Technologies, Architectures, and Protocols for Computer Communications, New Delhi, India, August 30 -September 3, 2010, pp. 455-456, 2010, ACM, 978-1-4503-0201-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
InfoName, information based architecture, multimedia, naming, content retrieval |
9 | Kai Wang, Zhaoyan Ming, Xia Hu, Tat-Seng Chua |
Segmentation of multi-sentence questions: towards effective question retrieval in cQA services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGIR ![In: Proceeding of the 33rd International ACM SIGIR Conference on Research and Development in Information Retrieval, SIGIR 2010, Geneva, Switzerland, July 19-23, 2010, pp. 387-394, 2010, ACM, 978-1-4503-0153-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Yahoo! answers, question matching, question segmentation, question answering |
9 | Jiayuan Meng, David Tarjan, Kevin Skadron |
Dynamic warp subdivision for integrated branch and memory divergence tolerance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 37th International Symposium on Computer Architecture (ISCA 2010), June 19-23, 2010, Saint-Malo, France, pp. 235-246, 2010, ACM, 978-1-4503-0053-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
branch divergence, memory divergence, cache, warp, latency hiding, simd |
9 | Carlo Galuzzi, Chunyang Gou, Humberto Calderon, Georgi Gaydadjiev, Stamatis Vassiliadis |
High-bandwidth Address Generation Unit. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Signal Process. Syst. ![In: J. Signal Process. Syst. 57(1), pp. 33-44, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Address generation unit, Stride, Parallel memory |
9 | Zhenpei Li, Ping Li, Ming Wu |
Digital oil and gas pipeline visualization using X3D. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Web3D ![In: Proceeding of the 14th International Conference on 3D Web Technology, Web3D 2009, Darmstadt, Germany, June 16-17, 2009, pp. 191-196, 2009, ACM, 978-1-60558-432-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Java native interface (JNI), OLE for process control (OPC), digital oil and gas pipeline, scene access interface (SAI), visualization, interaction, 3D modeling, extensible 3D (X3D) |
9 | Masana Murase, Kanna Shimizu, Wilfred Plouffe, Masaharu Sakamoto |
Effective implementation of the cell broadband engineTM isolation loader. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCS ![In: Proceedings of the 2009 ACM Conference on Computer and Communications Security, CCS 2009, Chicago, Illinois, USA, November 9-13, 2009, pp. 303-313, 2009, ACM, 978-1-60558-894-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
cell broadband engine(tm), encrypt-then-sign, substituted-ciphertext attack, multi-core, isolation |
9 | Sven Olufs, Markus Vincze |
A simple inexpensive interface for robots using the Nintendo Wii controller. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2009 IEEE/RSJ International Conference on Intelligent Robots and Systems, October 11-15, 2009, St. Louis, MO, USA, pp. 473-479, 2009, IEEE, 978-1-4244-3803-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
9 | Dimitris Tsirogiannis, Stavros Harizopoulos, Mehul A. Shah, Janet L. Wiener, Goetz Graefe |
Query processing techniques for solid state drives. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the ACM SIGMOD International Conference on Management of Data, SIGMOD 2009, Providence, Rhode Island, USA, June 29 - July 2, 2009, pp. 59-72, 2009, ACM, 978-1-60558-551-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
columnar storage, late materialization, semi-join reduction, ssd, flash memory, join index |
9 | Xiaogang Wang, Junzhou Luo, Ming Yang 0001, Zhen Ling |
A novel flow multiplication attack against Tor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSCWD ![In: Proceedings of the 13th International Conference on Computers Supported Cooperative Work in Design, CSCWD 2009, April 22-24, 2009, Santiago, Chile, pp. 686-691, 2009, IEEE, 978-1-4244-3534-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
9 | Chen Liu 0001, Jean-Luc Gaudiot |
The Impact of Resource Sharing Control on the Design of Multicore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICA3PP ![In: Algorithms and Architectures for Parallel Processing, 9th International Conference, ICA3PP 2009, Taipei, Taiwan, June 8-11, 2009. Proceedings, pp. 315-326, 2009, Springer, 978-3-642-03094-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
9 | Martin Thuresson, Magnus Själander, Per Stenström |
A Flexible Code Compression Scheme Using Partitioned Look-Up Tables. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPEAC ![In: High Performance Embedded Architectures and Compilers, Fourth International Conference, HiPEAC 2009, Paphos, Cyprus, January 25-28, 2009. Proceedings, pp. 95-109, 2009, Springer, 978-3-540-92989-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
9 | Byunghyun Jang, Synho Do, Homer H. Pien, David R. Kaeli |
Architecture-aware optimization targeting multithreaded stream computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GPGPU ![In: Proceedings of 2nd Workshop on General Purpose Processing on Graphics Processing Units, GPGPU 2009, Washington, DC, USA, March 8, 2009, pp. 62-70, 2009, ACM, 978-1-60558-517-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Brook+, optimization, GPGPU |
9 | Robert D. Cameron, Dan Lin 0003 |
Architectural support for SWAR text processing with parallel bit streams: the inductive doubling principle. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 14th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2009, Washington, DC, USA, March 7-11, 2009, pp. 337-348, 2009, ACM, 978-1-60558-406-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
inductive doubling, parallel bit streams, swar |
9 | Ioana Burcea, Andreas Moshovos |
Phantom-BTB: a virtualized branch target buffer design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 14th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2009, Washington, DC, USA, March 7-11, 2009, pp. 313-324, 2009, ACM, 978-1-60558-406-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
predictor metadata prefetching, predictor virtualization, branch target buffer |
9 | Zhiguo Ge, Tulika Mitra, Weng-Fai Wong |
A DVS-based pipelined reconfigurable instruction memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 897-902, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
reconfigurable memory, low power, instruction cache |
9 | Saikat Mukherjee, I. V. Ramakrishnan |
Automated Semantic Analysis of Schematic Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
World Wide Web ![In: World Wide Web 11(4), pp. 427-464, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
semantic partitioning, semantic bookmarks, semantic wrappers, assistive browsing, mobile-devices browsing, learning-based semantics |
9 | Rama Sangireddy, Jatan P. Shah |
Operand-Load-Based Split Pipeline Architecture for High Clock Rate and Commensurable IPC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 19(4), pp. 529-544, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Sanghyun Park, Aviral Shrivastava, Nikil D. Dutt, Alexandru Nicolau, Yunheung Paek, Eugene Earlie |
Register File Power Reduction Using Bypass Sensitive Compiler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(6), pp. 1155-1159, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Yun-Nan Chang, Ting-Chi Tong |
An Efficient Design of H.264 Inter Interpolator with Bandwidth Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Signal Process. Syst. ![In: J. Signal Process. Syst. 53(3), pp. 435-448, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Inter-interpolation, Chroma interpolation, Luma interpolation, Inter-partition, H.264, Motion compensation |
9 | Rashedur M. Rahman, Ken Barker 0001, Reda Alhajj |
Replica Placement Strategies in Data Grid. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Grid Comput. ![In: J. Grid Comput. 6(1), pp. 103-123, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Replication, Data Grid, Distributed environment, Network latency, Dynamic allocation |
9 | Olatunde O. Abiona, Tricha Anjali, Lawrence O. Kehinde |
Simulation of a cyclic multicast proxy server. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EIT ![In: 2008 IEEE International Conference on Electro/Information Technology, EIT 2008, held at Iowa State University, Ames, Iowa, USA, May 18-20, 2008, pp. 102-107, 2008, IEEE, 978-1-4244-2030-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Jaejin Lee, Sangmin Seo, Chihun Kim, Junghyun Kim, Posung Chun, Zehra Sura, Jungwon Kim, Sangyong Han |
COMIC: a coherent shared memory interface for cell be. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 17th International Conference on Parallel Architectures and Compilation Techniques, PACT 2008, Toronto, Ontario, Canada, October 25-29, 2008, pp. 303-314, 2008, ACM, 978-1-60558-282-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
heterogeneous multicores, software shared virtual memory, OpenMP, software distributed shared memory, Cell BE |
9 | Huaping Wang, Israel Koren, C. Mani Krishna 0001 |
An adaptive resource partitioning algorithm for SMT processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 17th International Conference on Parallel Architectures and Compilation Techniques, PACT 2008, Toronto, Ontario, Canada, October 25-29, 2008, pp. 230-239, 2008, ACM, 978-1-60558-282-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
simultaneous multithreading, resource partitioning |
9 | Vimal K. Reddy, Eric Rotenberg |
Coverage of a microarchitecture-level fault check regimen in a superscalar processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN ![In: The 38th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2008, June 24-27, 2008, Anchorage, Alaska, USA, Proceedings, pp. 1-10, 2008, IEEE Computer Society, 978-1-4244-2397-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Jiefeng Cheng, Jeffrey Xu Yu, Bolin Ding, Philip S. Yu, Haixun Wang |
Fast Graph Pattern Matching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDE ![In: Proceedings of the 24th International Conference on Data Engineering, ICDE 2008, April 7-12, 2008, Cancún, Mexico, pp. 913-922, 2008, IEEE Computer Society, 978-1-4244-1836-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Martino Sykora, Giovanni Agosta, Cristina Silvano |
Dynamic configuration of application-specific implicit instructions for embedded pipelined processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2008 ACM Symposium on Applied Computing (SAC), Fortaleza, Ceara, Brazil, March 16-20, 2008, pp. 1509-1516, 2008, ACM, 978-1-59593-753-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
implicit issue, reconfiguration, pipelined architecture |
9 | Hai Lin 0004, Yunsi Fei |
Harnessing Horizontal Parallelism and Vertical Instruction Packing of Programs to Improve System Overall Efficiency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008, pp. 758-763, 2008, ACM, 978-3-9810801-3-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Kshitiz Malik, Mayank Agarwal, Sam S. Stone, Kevin M. Woley, Matthew I. Frank |
Branch-mispredict level parallelism (BLP) for control independence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 14th International Conference on High-Performance Computer Architecture (HPCA-14 2008), 16-20 February 2008, Salt Lake City, UT, USA, pp. 62-73, 2008, IEEE Computer Society, 978-1-4244-2070-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Vivek K. Shanbhag |
Deadlock-Detection in Java-Library Using Static-Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APSEC ![In: 15th Asia-Pacific Software Engineering Conference (APSEC 2008), 3-5 December 2008, Beijing, China, pp. 361-368, 2008, IEEE Computer Society, 978-0-7695-3446-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Pavol Návrat, Tomas Taraba, Anna Bou Ezzeddine, Daniela Chudá |
Context Search Enhanced by Readability Index. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFIP AI ![In: Artificial Intelligence in Theory and Practice II, IFIP 20th World Computer Congress, TC 12: IFIP AI 2008 Stream, September 7-10, 2008, Milano, Italy, pp. 373-382, 2008, Springer, 978-0-387-09694-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Christian Morbidoni, Danh Le Phuoc, Axel Polleres, Matthias Samwald, Giovanni Tummarello |
Previewing Semantic Web Pipes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESWC ![In: The Semantic Web: Research and Applications, 5th European Semantic Web Conference, ESWC 2008, Tenerife, Canary Islands, Spain, June 1-5, 2008, Proceedings, pp. 843-848, 2008, Springer, 978-3-540-68233-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Rafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López 0001, José Duato |
The impact of out-of-order commit in coarse-grain, fine-grain and simultaneous multithreaded architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 22nd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2008, Miami, Florida USA, April 14-18, 2008, pp. 1-11, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Alberto Castro, Martín Germán, Xavier Masip-Bruin, Marcelo Yannuzzi, Roque Gagliano, Eduardo Grampín |
Advantages of a PCE-based control plane for LISP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoNEXT ![In: Proceedings of the 2008 ACM Conference on Emerging Network Experiment and Technology, CoNEXT 2008, Madrid, Spain, December 9-12, 2008, pp. 46, 2008, ACM, 978-1-60558-210-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Luis C. Aparicio, Juan Segarra, Clemente Rodríguez, J. L. Villarroel, Víctor Viñals |
Avoiding the WCET Overestimation on LRU Instruction Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: The Fourteenth IEEE Internationl Conference on Embedded and Real-Time Computing Systems and Applications, RTCSA 2008, Kaohisung, Taiwan, 25-27 August 2008, Proceedings, pp. 393-398, 2008, IEEE Computer Society, 978-0-7695-3349-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Wenwu Na, Xiaoxuan Meng, Chengxiang Si, Jian Ke, Xudong Zhu, Qingzhong Bu, Lu Xu 0001 |
A Novel Network RAID Architecture with Out-of-Band Virtualization and Redundant Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: 14th International Conference on Parallel and Distributed Systems, ICPADS 2008, Melbourne, Victoria, Australia, December 8-10, 2008, pp. 105-112, 2008, IEEE Computer Society, 978-0-7695-3434-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Hend S. Al-Khalifa |
Utilizing QR Code and Mobile Phones for Blinds and Visually Impaired People. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCHP ![In: Computers Helping People with Special Needs, 11th International Conference, ICCHP 2008, Linz, Austria, July 9-11, 2008. Proceedings, pp. 1065-1069, 2008, Springer, 978-3-540-70539-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Junichi Funasaka, Akihiko Ishidu, Kenji Ishida |
A File Fetching Method to Reduce the Redundant Traffic on BitTorrent-Like P2P Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS Workshops ![In: 28th IEEE International Conference on Distributed Computing Systems Workshops (ICDCS 2008 Workshops), 17-20 June 2008, Beijing, China, pp. 593-598, 2008, IEEE Computer Society, 978-0-7695-3173-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Carmelo Acosta, Francisco J. Cazorla, Alex Ramírez, Mateo Valero |
MFLUSH: Handling Long-Latency Loads in SMT On-Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 2008 International Conference on Parallel Processing, ICPP 2008, September 8-12, 2008, Portland, Oregon, USA, pp. 173-181, 2008, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Mattias Rehnman, Tobias Gentzell |
Synchronization in a force measurement system using EtherCAT. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETFA ![In: Proceedings of 13th IEEE International Conference on Emerging Technologies and Factory Automation, ETFA 2008, September 15-18, 2008, Hamburg, Germany, pp. 1023-1030, 2008, IEEE, 1-4244-1505-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Morgan McGuire, Kyle Whitson |
Indirection mapping for quasi-conformal relief texturing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SI3D ![In: Proceedings of the 2008 Symposium on Interactive 3D Graphics, SI3D 2008, February 15-17, 2008, Redwood City, CA, USA, pp. 191-198, 2008, ACM, 978-1-59593-983-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
heightfield, parallax occlusion, relief, terrain, bump |
9 | Sandeep Pandey, Christopher Olston |
Crawl ordering by search impact. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSDM ![In: Proceedings of the International Conference on Web Search and Web Data Mining, WSDM 2008, Palo Alto, California, USA, February 11-12, 2008, pp. 3-14, 2008, ACM, 978-1-59593-927-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
crawl ordering, impact-driven crawling, web crawling |
9 | Alejandro García, Oliverio J. Santana, Enrique Fernández, Pedro Medina, Mateo Valero |
LPA: A First Approach to the Loop Processor Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPEAC ![In: High Performance Embedded Architectures and Compilers, Third International Conference, HiPEAC 2008, Göteborg, Sweden, January 27-29, 2008, Proceedings, pp. 273-287, 2008, Springer, 978-3-540-77559-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Ravi Chandra Jammalamadaka, Roberto Gamboni, Sharad Mehrotra, Kent E. Seamons, Nalini Venkatasubramanian |
iDataGuard: an interoperable security middleware for untrusted internet data storage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Middleware (Companion) ![In: Middleware 2008, ACM/IFIP/USENIX 9th International Middleware Conference, Leuven, Belgium, December 1-5, 2008, Companion Proceedings, pp. 36-41, 2008, ACM, 978-1-60558-369-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
interoperability, key management, data security, data representation |
9 | Hao Yu 0008, Hubertus Franke, Giora Biran, Amit Golander, Terry Nelms, Brian M. Bass |
Stateful hardware decompression in networking environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ANCS ![In: Proceedings of the 2008 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, ANCS 2008, San Jose, California, USA, November 6-7, 2008, pp. 141-150, 2008, ACM, 978-1-60558-346-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Yehuda Afek, Eli Gafni, Adam Morrison 0001 |
Common2 extended to stacks and unbounded concurrency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Distributed Comput. ![In: Distributed Comput. 20(4), pp. 239-252, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Common2, Unbounded concurrency, Immediate snapshot, Stacks, Wait-free |
9 | Nikolaos Laoutaris, Georgios Smaragdakis, Azer Bestavros, Ibrahim Matta, Ioannis Stavrakakis |
Distributed Selfish Caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 18(10), pp. 1361-1376, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Wen-Wen Hsieh, Po-Yuan Chen, Chun-Yao Wang, TingTing Hwang |
A Bus-Encoding Scheme for Crosstalk Elimination in High-Performance Processor Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(12), pp. 2222-2227, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Wu-An Kuo, Yi-Ling Chiang, TingTing Hwang, Allen C.-H. Wu |
Performance-Driven Crosstalk Elimination at Postcompiler Level-The Case of Low-Crosstalk Op-Code Assignment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(3), pp. 564-573, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Robert Sisneros, Chad Jones, Jian Huang 0007, Jinzhu Gao, Byung-Hoon Park, Nagiza F. Samatova |
A Multi-Level Cache Model for Run-Time Optimization of Remote Visualization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 13(5), pp. 991-1003, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
distributed visualization, performance analysis, caching, Remote visualization |
9 | Jihyun In, Ilhoon Shin, Hyojun Kim |
SWL: a search-while-load demand paging scheme with NAND flash memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2007 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'07), San Diego, California, USA, June 13-15, 2007, pp. 217-226, 2007, ACM, 978-1-59593-632-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
page fault handler, parallelization, NAND flash memory, demand paging, page replacement |
9 | Albert Meixner, Daniel J. Sorin |
Error Detection Using Dynamic Dataflow Verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), Brasov, Romania, September 15-19, 2007, pp. 104-118, 2007, IEEE Computer Society, 0-7695-2944-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Ryan D. Riley, Xuxian Jiang, Dongyan Xu |
An Architectural Approach to Preventing Code Injection Attacks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN ![In: The 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2007, 25-28 June 2007, Edinburgh, UK, Proceedings, pp. 30-40, 2007, IEEE Computer Society, 0-7695-2855-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Secure Memory Architecture, Code Injection |
9 | Vimal K. Reddy, Eric Rotenberg |
Inherent Time Redundancy (ITR): Using Program Repetition for Low-Overhead Fault Tolerance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN ![In: The 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2007, 25-28 June 2007, Edinburgh, UK, Proceedings, pp. 307-316, 2007, IEEE Computer Society, 0-7695-2855-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Humberto Calderon, Carlo Galuzzi, Georgi Gaydadjiev, Stamatis Vassiliadis |
High-Bandwidth Address Generation Unit. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAMOS ![In: Embedded Computer Systems: Architectures, Modeling, and Simulation, 7th International Workshop, SAMOS 2007, Samos, Greece, July 16-19, 2007, Proceedings, pp. 251-262, 2007, Springer, 978-3-540-73622-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Francisco J. Cazorla, Enrique Fernández, Peter M. W. Knijnenburg, Alex Ramírez, Rizos Sakellariou, Mateo Valero |
On the Problem of Minimizing Workload Execution Time in SMT Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSAMOS ![In: Proceedings of the 2007 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (IC-SAMOS 2007), Samos, Greece, July 16-19, 2007, pp. 66-73, 2007, IEEE, 1-4244-1058-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Manuel Sánchez, Gabriel López Millán, Óscar Cánovas Reverte, Antonio Fernandez Gómez-Skarmeta |
Bootstrapping a Global SSO from Network Access Control Mechanisms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EuroPKI ![In: Public Key Infrastructure, 4th European PKI Workshop: Theory and Practice, EuroPKI 2007, Palma de Mallorca, Spain, June 28-30, 2007, Proceedings, pp. 170-180, 2007, Springer, 978-3-540-73407-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
authorization, federation, SSO, SAML |
9 | Aurélie Clodic, Rachid Alami, Vincent Montreuil, Shuyin Li, Britta Wrede, Agnes Swadzba |
A study of interaction between dialog and decision for human-robot collaborative task achievement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RO-MAN ![In: IEEE RO-MAN 2007, 16th IEEE International Symposium on Robot & Human Interactive Communication, August 26-29, 2007, Jeju Island, South Korea, Proceedings, pp. 913-918, 2007, IEEE, 978-1-4244-1634-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Shuming Chen, Pengyong Ma |
FROCM: A Fair and Low-Overhead Method in SMT Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC ![In: High Performance Computing and Communications, Third International Conference, HPCC 2007, Houston, USA, September 26-28, 2007, Proceedings, pp. 566-576, 2007, Springer, 978-3-540-75443-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Shefali Bhat, C. Anantaram, Hemant K. Jain |
Framework for Text-Based Conversational User-Interface for Business Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KSEM ![In: Knowledge Science, Engineering and Management, Second International Conference, KSEM 2007, Melbourne, Australia, November 28-30, 2007, Proceedings, pp. 301-312, 2007, Springer, 978-3-540-76718-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
ontology, semantic web, email, Natural Language Interface, Conversational systems |
9 | Gadi Taubenfeld |
Efficient Transformations of Obstruction-Free Algorithms into Non-blocking Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DISC ![In: Distributed Computing, 21st International Symposium, DISC 2007, Lemesos, Cyprus, September 24-26, 2007, Proceedings, pp. 450-464, 2007, Springer, 978-3-540-75141-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | David P. Anderson, John McLeod |
Local Scheduling for Volunteer Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), Proceedings, 26-30 March 2007, Long Beach, California, USA, pp. 1-8, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Walid A. Najjar |
Compiling code accelerators for FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2007, Salzburg, Austria, September 30 - October 3, 2007, pp. 2, 2007, ACM, 978-1-59593-824-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Mathias Boc, Anne Fladenmuller, Marcelo Dias de Amorim |
Otiy: locators tracking nodes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoNEXT ![In: Proceedings of the 2007 ACM Conference on Emerging Network Experiment and Technology, CoNEXT 2007, New York, NY, USA, December 10-13, 2007, pp. 14, 2007, ACM, 978-1-59593-770-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Carlos D. Correa, Deborah Silver |
Programmable shaders for deformation rendering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Graphics Hardware ![In: Proceedings of the ACM SIGGRAPH/EUROGRAPHICS Conference on Graphics Hardware 2007, San Diego, California, USA, August 4-5, 2007, pp. 89-96, 2007, Eurographics Association, 978-1-59593-625-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Prateek Pujara, Aneesh Aggarwal |
Increasing cache capacity through word filtering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 21th Annual International Conference on Supercomputing, ICS 2007, Seattle, Washington, USA, June 17-21, 2007, pp. 222-231, 2007, ACM, 978-1-59593-768-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
cache capacity, cache compression, cache noise, cache organization, cache miss rate |
9 | Ju-Hyun Kim, Gyoung-Hwan Hyun, Hyuk-Jae Lee |
Cache Organizations for H.264/AVC Motion Compensation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007), 21-24 August 2007, Daegu, Korea, pp. 534-541, 2007, IEEE Computer Society, 0-7695-2975-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Mary Yvonne Lanzerotti, Giovanni Fiorenza, Rick A. Rand |
Impact of interconnect length changes on effective materials properties (dielectric constant). ![Search on Bibsonomy](Pics/bibsonomy.png) |
SLIP ![In: The Ninth International Workshop on System-Level Interconnect Prediction (SLIP 2007), Austin, Texas, USA, March 17-18, 2007, Proceedings, pp. 73-80, 2007, ACM, 978-1-59593-622-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
performance, routing, interconnect, cycle time, interconnect model, rent, path delay |
9 | Yuying Wang, Xingshe Zhou 0001 |
Mutual Effect of Instruction Layout Optimization and Instruction Memory Hierarchy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP Workshops ![In: 2007 International Conference on Parallel Processing Workshops (ICPP Workshops 2007), 10-14 September 2007, Xi-An, China, pp. 22, 2007, IEEE Computer Society, 978-0-7695-2934-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Instruction Layout Optimization, Instruction Cache Miss Rate, Cache Memory Hierarchy |
9 | Hung-Chang Hsiao, Hao Liao |
A Novel Peer-to-Peer Overlay for Live Media Broadcasting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP Workshops ![In: 2007 International Conference on Parallel Processing Workshops (ICPP Workshops 2007), 10-14 September 2007, Xi-An, China, pp. 54, 2007, IEEE Computer Society, 978-0-7695-2934-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Walid A. Najjar |
Compiling code accelerators for FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2007 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2007, Salzburg, Austria, September 30 - October 3, 2007, pp. 1-2, 2007, ACM. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
FPGA code acceleration |
9 | Kevin K. O'Brien |
Techniques for code and data management in the local stores of the cell processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2007 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2007, Salzburg, Austria, September 30 - October 3, 2007, pp. 63-64, 2007, ACM. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
invited talk |
9 | Pavol Návrat, Tomas Taraba |
Context Search. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Web Intelligence/IAT Workshops ![In: Proceedings of the 2007 IEEE/WIC/ACM International Conference on Web Intelligence and International Conference on Intelligent Agent Technology - Workshops, 2-5 November 2007, Silicon Valley, CA, USA, pp. 99-102, 2007, IEEE Computer Society, 0-7695-3028-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Rashedur M. Rahman, Ken Barker 0001, Reda Alhajj |
Study of Different Replica Placement and Maintenance Strategies in Data Grid. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCGRID ![In: Seventh IEEE International Symposium on Cluster Computing and the Grid (CCGrid 2007), 14-17 May 2007, Rio de Janeiro, Brazil, pp. 171-178, 2007, IEEE Computer Society, 0-7695-2833-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Weidong Shi, Hsien-Hsin S. Lee |
Accelerating memory decryption and authentication with frequent value prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 4th Conference on Computing Frontiers, 2007, Ischia, Italy, May 7-9, 2007, pp. 35-46, 2007, ACM, 978-1-59593-683-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
message authentication, value prediction, secure processors |
9 | Fei Gao, Hanyu Cui, Suleyman Sair |
Two-level ata prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 25th International Conference on Computer Design, ICCD 2007, 7-10 October 2007, Lake Tahoe, CA, USA, Proceedings, pp. 238-244, 2007, IEEE, 1-4244-1258-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Nobuyuki Yamasaki, Ikuo Magaki, Tsutomu Itou |
Prioritized SMT Architecture with IPC Control Method for Real-Time Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real-Time and Embedded Technology and Applications Symposium ![In: Proceedings of the 13th IEEE Real-Time and Embedded Technology and Applications Symposium, RTAS 2007, April 3-6, 2007, Bellevue, Washington, USA, pp. 12-21, 2007, IEEE Computer Society, 978-0-7695-2800-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Michael Huggett |
Information-behaviour modeling with external cues. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGIR ![In: SIGIR 2007: Proceedings of the 30th Annual International ACM SIGIR Conference on Research and Development in Information Retrieval, Amsterdam, The Netherlands, July 23-27, 2007, pp. 920, 2007, ACM, 978-1-59593-597-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
contextual retrieval, information behaviour, sensor indexing, spreading activation networks, temporal indexing |
9 | Atsushi Kawano, Junichi Funasaka, Kenji Ishida |
Parallel Downloading Using Variable Length Blocks for Proxy Servers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS Workshops ![In: 27th International Conference on Distributed Computing Systems Workshops (ICDCS 2007 Workshops), June 25-29, 2007, Toronto, Ontario, Canada, pp. 59, 2007, IEEE Computer Society, 0-7695-2881-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Mohammad Islam, Pavan Balaji, Gerald Sabin, P. Sadayappan |
Analyzing and Minimizing the Impact of Opportunity Cost in QoS-aware Job Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 2007 International Conference on Parallel Processing (ICPP 2007), September 10-14, 2007, Xi-An, China, pp. 42, 2007, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Shaikh Mostafa Al Masum, Helmut Prendinger, Mitsuru Ishizuka |
Emotion Sensitive News Agent: An Approach Towards User Centric Emotion Sensing from the News. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Web Intelligence ![In: 2007 IEEE / WIC / ACM International Conference on Web Intelligence, WI 2007, 2-5 November 2007, Silicon Valley, CA, USA, Main Conference Proceedings, pp. 614-620, 2007, IEEE Computer Society, 0-7695-3026-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Sylvain Lefebvre 0001, Carsten Dachsbacher |
TileTrees. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SI3D ![In: Proceedings of the 2007 Symposium on Interactive 3D Graphics, SI3D 2007, April 30 - May 2, 2007, Seattle, Washington, USA, pp. 25-31, 2007, ACM, 978-1-59593-628-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
interactive painting, texturing, texture mapping |
9 | Tae-Young Chang, Zhenyun Zhuang, Aravind Velayutham, Raghupathy Sivakumar |
Client-side web acceleration for low-bandwidth hosts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BROADNETS ![In: Fourth International Conference on Broadband Communications, Networks and Systems, (BROADNETS 2007), 10-14 September 2007, Raleigh, North-Carolina, USA, pp. 932-941, 2007, IEEE, 978-1-4244-1432-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Liu Huilin, Kou Chunhua, Wang Guangxing |
Efficiently Crawling Strategy for Focused Searching Engine. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APWeb/WAIM Workshops ![In: Advances in Web and Network Technologies, and Information Management, APWeb/WAIM 2007 International Workshops: DBMAN 2007, WebETrends 2007, PAIS 2007 and ASWAN 2007, Huang Shan, China, June 16-18, 2007, Proceedings, pp. 25-36, 2007, Springer, 978-3-540-72908-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Junhao Zheng, Lei Deng, Peng Zhang, Don Xie |
An Efficient VLSI Architecture for Motion Compensation of AVS HDTV Decoder. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 21(3), pp. 370-377, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
motion compensation, VLSI architecture, AVS |
9 | Chi Ta Wu, Ang-Chih Hsieh, TingTing Hwang |
Instruction buffering for nested loops in low-power design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 14(7), pp. 780-784, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
9 | Lorrie Faith Cranor, Praveen Guduru, Manjula Arjula |
User interfaces for privacy agents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Hum. Interact. ![In: ACM Trans. Comput. Hum. Interact. 13(2), pp. 135-178, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
privacy, preferences, privacy policy, P3P, privacy enhancing technology, user agent |
9 | Shlomi Dolev, Yinnon A. Haviv |
Self-Stabilizing Microprocessor: Analyzing and Overcoming Soft Errors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 55(4), pp. 385-399, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Self-stabilization, microprocessor, soft errors, single event upset |
9 | Bin Wu 0014, Ajay D. Kshemkalyani |
Objective-Optimal Algorithms for Long-Term Web Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 55(1), pp. 2-17, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
hit rate, optimal object selection, World Wide Web, randomized algorithm, bandwidth, Web server, Web caching, content distribution, Web prefetching, Web object |
9 | Rama Sangireddy |
Reducing Rename Logic Complexity for High-Speed and Low-Power Front-End Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 55(6), pp. 672-685, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Wide-issue processors, integer pipeline, rename logic complexity, front-end power consumption |
9 | Kimmo Roimela, Tomi Aarnio, Joonas Itäranta |
High dynamic range texture compression. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Graph. ![In: ACM Trans. Graph. 25(3), pp. 707-712, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
compression, texture, image, graphics hardware, high dynamic range, HDR |
Displaying result #401 - #500 of 746 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ >>] |
|