The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISQED"( http://dblp.L3S.de/Venues/ISQED )

URL (DBLP): http://dblp.uni-trier.de/db/conf/isqed

Publication years (Num. hits)
2000 (79) 2001 (96) 2002 (106) 2003 (83) 2004 (93) 2005 (126) 2006 (141) 2007 (157) 2008 (171) 2009 (142) 2010 (134) 2011 (126) 2012 (114) 2013 (113) 2014 (108) 2015 (111) 2016 (81) 2017 (78) 2018 (74) 2019 (56) 2020 (78) 2021 (106) 2022 (92) 2023 (108)
Publication types (Num. hits)
inproceedings(2549) proceedings(24)
Venues (Conferences, Journals, ...)
ISQED(2573)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 569 occurrences of 384 keywords

Results
Found 2573 publication records. Showing 2573 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Jawad Haj-Yahya, Efraim Rotem, Avi Mendelson, Anupam Chattopadhyay A Comprehensive Evaluation of Power Delivery Schemes for Modern Microprocessors. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ming Yan, Yuntao Song, Yiyu Feng, Ghasem Pasandi, Massoud Pedram, Shahin Nazarian kNN-CAM: A k-Nearest Neighbors-based Configurable Approximate Floating Point Multiplier. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yukio Miyasaka, Ashish Mittal, Masahiro Fujita Synthesis of Algorithm Considering Communication Structure of Distributed/Parallel Computing. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Huan Yu, Jaemin Shin, Tim Michalka, Mourad Larbi, Madhavan Swaminathan Behavioral Modeling of Tunable I/O Drivers with Pre-emphasis Using Neural Networks. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sheng-En David Lin, Dae Hyun Kim 0004 Routing Complexity Minimization of Monolithic Three-Dimensional Integrated Circuits. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Prateek Gupta, Harshini Mandadapu, Shirisha Gourishetty, Zia Abbas Robust Transistor Sizing for Improved Performances in Digital Circuits using Optimization Algorithms. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shantonu Das, Dae Hyun Kim 0004 A Non-Slicing 3-D Floorplan Representation for Monolithic 3-D IC Design. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1M. Hassan Najafi, S. Rasoul Faraji, Bingzhe Li, David J. Lilja, Kia Bazargan Accelerating Deterministic Bit-Stream Computing with Resolution Splitting. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Anurag Reddy Daram, Dhireesha Kudithipudi, Angel Yanguas-Gil Task-Based Neuromodulation Architecture for Lifelong Learning. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ghasem Pasandi, Shahin Nazarian, Massoud Pedram Approximate Logic Synthesis: A Reinforcement Learning-Based Technology Mapping Approach. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Haowen Fang, Amar Shrestha, Ziyi Zhao, Yanzhi Wang, Qinru Qiu A General Framework to Map Neural Networks onto Neuromorphic Processor. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Matthew Lewandowski, Srinivas Katkoori A Darwinian Genetic Algorithm for State Encoding Based Finite State Machine Watermarking. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Madhu Raman, Nizar Abdallah, Julien Dunoyer An Artificial Intelligence Approach to EDA Software Testing: Application to Net Delay Algorithms in FPGAs. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Morteza Hosseini, Hirenkumar Paneliya, Utteja Kallakuri, Mohit Khatwani, Tinoosh Mohsenin Minimizing Classification Energy of Binarized Neural Network Inference for Wearable Devices. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zhipeng Dong, Xi Cao, M. Ahosan Ul Karim, Vivek Joshi, Torsten Klick, Joerg Schmid Simulation Based Assessment of SRAM Data Retention Voltage. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xu Liu, Alessandro Bernardini, Ulf Schlichtmann, Xing Zhou A Compact Model of Negative Bias Temperature Instability Suitable for Gate-Level Circuit Simulation. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Pradeep Chawda, Anupriya Prasad, Kunjal Rathod, Kritika Solanki An Automated Design Flow for Synthesis of Optimal Switching Power Supply. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Alexander J. Groszewski, Travis Lenz Deterministic Stochastic Computation Using Parallel Datapaths. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Peikun Wang, Amir Masoud Gharehbaghi, Masahiro Fujita Automatic Test Pattern Generation for Double Stuck-at Faults Based on Test Patterns of Single Faults. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mohamad Hammam Alsafrjalani, Tosiron Adegbija, Lokesh Ramamoorthi Evaluating Design Space Subsetting for Multi-Objective Optimization in Configurable Systems. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ya-Chi Huang, Meng-Hsueh Chiang, Shui-Jinn Wang Speed Optimization of Vertically Stacked Gate-All-Around MOSFETs with Inner Spacers for Low Power and Ultra-Low Power Applications. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yuting Cao, Hao Zheng 0001, Sandip Ray A Communication-Centric Observability Selection for Post-Silicon System-on-Chip Integration Debug. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Maedeh Hemmat, Azadeh Davoodi Dynamic Reconfiguration of CNNs for Input-Dependent Approximation. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kenshu Seto, Hamid Nejatollahi, Jiyoung An, Sujin Kang, Nikil D. Dutt Small Memory Footprint Neural Network Accelerators. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kazuaki Hara, Naoki Takeuchi, Masashi Aono, Yuko Hara-Azumi Amoeba-Inspired Stochastic Hardware SAT Solver. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Barkha Gupta, W. Rhett Davis Characterization of Fast, Accurate Leakage Power Models for IEEE P2416. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Prabhu B. M. Prasad, Khyamling Parane, Basavaraj Talawar High-Performance NoCs Employing the DSP48E1 Blocks of the Xilinx FPGAs. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Pranav Ashar, Vinod Viswanath Closing the Verification Gap with Static Sign-off. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yasaswy Kasarabada, Suyuan Chen, Ranga Vemuri On SAT-Based Attacks On Encrypted Sequential Logic Circuits. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xiaokun Yang, Yunxiang Zhang 0001, Lei Wu A Scalable Image/Video Processing Platform with Open Source Design and Verification Environment. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chaofan Li, Sachin S. Sapatnekar, Jiang Hu Fast Mapping-Based High-Level Synthesis of Pipelined Circuits. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Arman Roohi, Shaahin Angizi, Deliang Fan, Ronald F. DeMara Processing-In-Memory Acceleration of Convolutional Neural Networks for Energy-Effciency, and Power-Intermittency Resilience. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Joonseop Sim, Minsu Kim, Yeseong Kim, Saransh Gupta, Behnam Khaleghi, Tajana Rosing MAPIM: Mat Parallelism for High Performance Processing in Non-volatile Memory Architecture. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Masoud Zabihi, Zhengyang Zhao, D. C. Mahendra, Zamshed I. Chowdhury, Salonik Resch, Thomas Peterson, Ulya R. Karpuzcu, Jianping Wang 0006, Sachin S. Sapatnekar Using Spin-Hall MTJs to Build an Energy-Efficient In-memory Computation Platform. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Billy Huggins, W. Rhett Davis, Paul D. Franzon Estimating Pareto Optimum Fronts to Determine Knob Settings in Electronic Design Automation Tools. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kaustav Goswami 0002, Hemanta Kumar Mondal, Shirshendu Das, Dip Sankar Banerjee State Preserving Dynamic DRAM Bank Re-Configurations for Enhanced Power Efficiency. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tsutomu Ishida, Izumi Nitta, Daisuke Fukuda, Yuzi Kanazawa Deep Learning-Based Wafer-Map Failure Pattern Recognition Framework. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Dharanidhar Dhang, Syed Ali Hasnain, Rabi N. Mahapatra MReC: A Multilayer Photonic Reservoir Computing Architecture. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jawad Haj-Yahya, Ming Ming Wong, Vikramkumar Pudi, Shivam Bhasin, Anupam Chattopadhyay Lightweight Secure-Boot Architecture for RISC-V System-on-Chip. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Katayoun Neshatpour, Farnaz Behnia, Houman Homayoun, Avesta Sasan Exploiting Energy-Accuracy Trade-off through Contextual Awareness in Multi-Stage Convolutional Neural Networks. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kerry Bernstein Murphy was an optimist: Embracing asymmetry in electronics. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ahmet Turan Erozan, Mohammad Saber Golanbari, Rajendra Bishnoi, Jasmin Aghassi-Hagmann, Mehdi Baradaran Tahoori Design and evaluation of physical unclonable function for inorganic printed electronics. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Huan Wang 0009, Jean-François Millithaler, Ronald W. Knepper, Martin Margala Terahertz travelling wave amplifier design using Ballistic Deflection Transistor. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Anthony Mattar El Raachini, Hussein Alawieh, Adam Issa, Zainab Swaidan, Rouwaida Kanj, Ali Chehab, Mazen A. R. Saghir Double error cellular automata-based error correction with skip-mode compact syndrome coding for resilient PUF design. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mami Miyamoto, Kiyoharu Hamaguchi Extracting hardware assertions including word-level relations over multiple clock cycles. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Boris Vaisband, Adeel Ahmad Bajwa, Subramanian S. Iyer Network on interconnect fabric. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Anupriya Prasad, Pradeep Chawda Power management factors and techniques for IoT design devices. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mahdi Nazemi, Amir Erfan Eshratifar, Massoud Pedram A hardware-friendly algorithm for scalable training and deployment of dimensionality reduction models on FPGA. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Horaira Abu, Salem Abdennadher, Benoit Provost, Harry Muljono Augmenting ESD and EOS physical analysis with per pin ESD and leakage DFT. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Chun-Xun Lin, Tsung-Wei Huang, Martin D. F. Wong Routing at compile time. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Joonseop Sim, Mohsen Imani, Woojin Choi, Yeseong Kim, Tajana Rosing LUPIS: Latch-up based ultra efficient processing in-memory system. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hassan Afzali-Kusha, Alireza Shafaei, Massoud Pedram A 125mV 2ns-access-time 16Kb SRAM design based on a 6T hybrid TFET-FinFET cell. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Amin Jadidi, Mohammad Arjomand, Mahmut T. Kandemir, Chita R. Das Hybrid-comp: A criticality-aware compressed last-level cache. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Victor Huang, Chenyun Pan, Azad Naeemi Generic system-level modeling and optimization for beyond CMOS device applications. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jheng-Yi Chen, Ming-Yu Chang, Shi-Hao Chen, Jia-Wei Lee, Meng-Hsueh Chiang Body-biasing assisted vmin optimization for 5nm-node multi-Vt FD-SOI 6T-SRAM. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Pavan Kumar Javvaji, Basim Shanyour, Spyros Tragoudas Test set identification for improved delay defect coverage in the presence of statistical delays. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Paul C.-P. Paul, Pei-Yu Chiang, Der-Cherng Tarng, Chih-Yu Yang Mathematical derivation, circuits design and clinical experiments of measuring blood flow volume (BFV) at arteriovenous fistula (AVF) of hemodialysis (HD) patients using a newly-developed photoplethysmography (PPG) sensor. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Archana Pandey, Pitul Garg, Shobhit Tyagi, Rajeev Ranjan, Anand Bulusu A modified method of logical effort for FinFET circuits considering impact of fin-extension effects. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Pradeep Kumar Chawda An automated design flow for synthesis of optimal multi-layer multi-shape PCB coils for inductive sensing applications. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mihir Mody, Kumar Desappan, Pramod Swami, Manu Mathew, Soyeb Nagori Low cost and power CNN/deep learning solution for automated driving. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Frederico Pratas, Thomas Dedes, Andrew Webber, Majid Bemanian, Itai Yarom Measuring the effectiveness of ISO26262 compliant self test library. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Pradeep Kumar Chawda A simplified methodology for complex analog module layout generation. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tongxin Yang, Tomoaki Ukezono, Toshinori Sato A low-power configurable adder for approximate applications. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Zhiming Zhang, Laurent Njilla, Charles A. Kamhoua, Kevin A. Kwiat, Qiaoyan Yu Securing FPGA-based obsolete component replacement for legacy systems. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Juyeon Kim, Taewhan Kim Energy-optimal dynamic voltage scaling in multicore platforms with reconfigurable power distribution network. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kazushi Kawamura, Masao Yanagisawa, Nozomu Togawa A loop structure optimization targeting high-level synthesis of fast number theoretic transform. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Linxi Dong, Haonan Wang, Gaofeng Wang, Weimin Qiu A wireless multifunctional monitoring system of tower body running state based on MEMS acceleration sensor. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Arif Siddiqi, Navneet Jain, Mahbub Rashed Back-bias generator for post-fabrication threshold voltage tuning applications in 22nm FD-SOI process. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Axel Jantsch, Arman Anzanpour, Hedyeh A. Kholerdi, Iman Azimi, Lydia C. Siafara, Amir M. Rahmani, Nima Taherinejad, Pasi Liljeberg, Nikil D. Dutt Hierarchical dynamic goal management for IoT systems. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Xinfei Guo, Vaibhav Verma, Patricia Gonzalez-Guerrero, Mircea R. Stan When "things" get older: Exploring circuit aging in IoT applications. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kamlesh Singh, Hailong Jiao, Jos Huisken, Hamed Fatemi, José Pineda de Gyvez Low power latch based design with smart retiming. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sheikh Ariful Islam, Srinivas Katkoori High-level synthesis of key based obfuscated RTL datapaths. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mohammad Saber Golanbari, Saman Kiamehr, Rajendra Bishnoi, Mehdi Baradaran Tahoori Reliable memory PUF design for low-power applications. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jihyun Ryoo, Meena Arunachalam, Rahul Khanna, Mahmut T. Kandemir Efficient K nearest neighbor algorithm implementations for throughput-oriented architectures. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mohsen Imani, Daniel Peroni, Tajana Rosing Program acceleration using nearest distance associative search. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Joohan Kim, Taewhan Kim Clock buffer and flip-flop co-optimization for reducing peak current noise. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kuen-Wey Lin, Masanori Hashimoto, Yih-Lang Li Near-future traffic evaluation based navigation for automated driving vehicles considering traffic uncertainties. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Arman Roohi, Ramtin Zand, Ronald F. DeMara Synthesis of normally-off boolean circuits: An evolutionary optimization approach utilizing spintronic devices. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Wenkai Guan, Milad Ghorbani Moghaddam, Cristinel Ababei Uncertainty aware mapping of embedded systems for reliability, performance, and energy. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sagarvarma Sayyaparaju, Sherif Amer, Garrett S. Rose A bi-memristor synapse with spike-timing-dependent plasticity for on-chip learning in memristive neuromorphic systems. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Vivek Nautiyal, Nishant Nukala, Fakhruddin Ali Bohra, Sagar Dwivedi, Jitendra Dasani, Satinderjit Singh, Gaurav Singla, Martin Kinkade Logic-based row redundancy technique designed in 7nm FinFET technology for embedded SRAMs. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jindun Dai, Renjie Li, Xin Jiang 0003, Takahiro Watanabe PDA-HyPAR: Path-diversity-aware hybrid planar adaptive routing algorithm for 3D NoCs. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Bin Lin, Kai Cong, Zhenkun Yang, Zhi-gang Liao, Tao Zhan, Christopher Havlicek, Fei Xie Concolic testing of SystemC designs. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kangjun Bai, Yang Yi Bradley A path to energy-efficient spiking delayed feedback reservoir computing system for brain-inspired neuromorphic processors. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ahmad Mansour, Ahmed El-Naggar, Bassma Al-Abassy, Mostafa Khamis, Ahmed Shalaby 0001 A 4-PAM interconnect in network-on-chip for high-throughput and latency-sensitive applications. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Cong Ma, David J. Lilja Parallel implementation of finite state machines for reducing the latency of stochastic computing. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tuotian Liao, Lihong Zhang Parasitic-aware gm/ID-based many-objective analog/RF circuit sizing. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ujas Patel, Sai Nimmalapudi, Harvey Stiegler, Andrew Marshall, Keith Jarreau Enhancing circuit operation using analog floating gates. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1 19th International Symposium on Quality Electronic Design, ISQED 2018, Santa Clara, CA, USA, March 13-14, 2018 Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  BibTeX  RDF
1Zuitoku Shin, Shumpei Morita, Song Bian 0001, Michihiro Shintani, Masayuki Hiromoto, Takashi Sato A study on NBTI-induced delay degradation considering stress frequency dependence. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Divya Akella Kamakshi, Xinfei Guo, Harsh N. Patel, Mircea R. Stan, Benton H. Calhoun A post-silicon hold time closure technique using data-path tunable-buffers for variation-tolerance in sub-threshold designs. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Przemyslaw Mroszczyk, Vasilis F. Pavlidis Ultra-low swing CMOS transceiver for 2.5-D integrated systems. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mohammed Fakhruddin, Kuok-Khian Lo, James Karp, Michael J. Hart, Min-Hsing P. Chen Verification methodology to guarantee low routing resistance to well taps. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yu-Cheng Chiang, Shr-Cheng Tsai, Rung-Bin Lin Recognition of regular layout structures. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sidhartha Sankar Rout, Hemanta Kumar Mondal, Rohan Juneja, Sri Harsha Gade, Sujay Deb Dynamic NoC platform for varied application needs. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Xiaolong Ma, Yipeng Zhang, Geng Yuan, Ao Ren, Zhe Li 0001, Jie Han 0001, Jingtong Hu, Yanzhi Wang An area and energy efficient design of domain-wall memory-based deep convolutional neural networks using stochastic computing. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Xiaowei Xu 0004, Tianchen Wang, Qing Lu, Yiyu Shi 0001 Resource constrained cellular neural networks for real-time obstacle detection using FPGAs. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Bingzhe Li, M. Hassan Najafi, Bo Yuan 0001, David J. Lilja Quantized neural networks with new stochastic multipliers. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sara Karimi, Jelena Trajkovic Comparative study and prediction modeling of photonic ring Network on Chip architectures. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Shinichi Nishizawa, Hidetoshi Onodera Process variation aware D-Flip-Flop design using regression analysis. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 2573 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license