The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "Integr."( http://dblp.L3S.de/Venues/Integr. )

URL (DBLP): http://dblp.uni-trier.de/db/journals/integration

Publication years (Num. hits)
1983 (21) 1984 (20) 1985 (23) 1986 (26) 1987 (23) 1988 (17) 1989 (40) 1990 (28) 1991 (49) 1992 (26) 1993 (39) 1994 (18) 1995 (19) 1996 (17) 1997 (30) 1998 (22) 1999 (16) 2000 (20) 2001-2002 (27) 2003 (26) 2004 (32) 2005 (21) 2006 (22) 2007 (50) 2008 (46) 2009 (49) 2010 (32) 2011 (28) 2012 (41) 2013 (42) 2014 (51) 2015 (69) 2016 (108) 2017 (120) 2018 (118) 2019 (145) 2020 (96) 2021 (98) 2022 (103) 2023 (154) 2024 (40)
Publication types (Num. hits)
article(1972)
Venues (Conferences, Journals, ...)
Integr.(1972)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1972 publication records. Showing 1972 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Zhiming Zhang, Jaya Dofe, Qiaoyan Yu Improving power analysis attack resistance using intrinsic noise in 3D ICs. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ehsan Mahmoodi, Morteza Gholipour Design space exploration of low-power flip-flops in FinFET technology. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1José Luis Imaña, Ignacio Luengo High-throughput architecture for post-quantum DME cryptosystem. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Andrea Minetto, Bernd Deutschmann, Oliver Häberlen, Gilberto Curatola System-level evaluation of dynamic effects in a GaN-based class-E power amplifier. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Amir Najafi 0001, Lennart Bamberg, Alberto García Ortiz Misalignment-aware energy modeling of narrow buses for data encoding schemes. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Liangjian Lyu, Yu Wang 0046, Chixiao Chen, Chuanjin Richard Shi A 0.6V 1.07 μW/Channel neural interface IC using level-shifted feedback. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Reza Narimani, Bardia Safaei 0001, Alireza Ejlali A comprehensive analysis on the resilience of adiabatic logic families against transient faults. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Toshinari Itoko, Rudy Raymond, Takashi Imamichi, Atsushi Matsuo Optimization of quantum circuit mapping using gate transformation and commutation. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Lin Jiang, Yang Liu, Rui Shan, Yani Feng, Yuan Zhang, Xiaoyan Xie RDMM: Runtime dynamic migration mechanism of distributed cache for reconfigurable array processor. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Lei Xie, Hao Cai, Chao Wang 0068, Jun Yang 0006 Towards an automated design flow for memristor based VLSI circuits. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Libao Deng, Ning Sun, Ning Fu Boundary scan based interconnect testing design for silicon interposer in 2.5D ICs. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mostafa Jafari-Nodoushan, Alireza Ejlali An optimal analytical solution for maximizing expected battery lifetime using the calculus of variations. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Harpreet Vohra, Ashima Singh, Sukhpal Singh Gill An innovative two-stage data compression scheme using adaptive block merging technique. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mohammad Moradinezhad Maryan, Reza Rezaei Siahrood, Seyed Javad Azhari, Abdolreza Rahmati A high-precision current-mode multifunction analog cell suitable for computational signal processing. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Fadi Riad Shahroury Design of a low-power CMOS transceiver for semi-passive wireless sensor network application. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Junyan Qian, Bisheng Huang, Hao Ding 0007, Zhide Zhou, Lingzhong Zhao, Zhongyi Zhai An efficient multiple shortest augmenting paths algorithm for constructing high performance VLSI subarray. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Elia A. Vallicelli, Davide Turossi, Luca Gelmi, Alessandro Baù, Roberto Bertoni, Walter Fulgione, Alessandro Quintino, Massimo Corcione, Andrea Baschirotto, Marcello De Matteis A 0.3nV/√Hz input-referred-noise analog front-end for radiation-induced thermo-acoustic pulses. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Amadeo de Gracia Herranz, Marisa López-Vallejo Time-domain writing architecture for multilevel RRAM cells resilient to temperature and process variations. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Stavros Simoglou, Nikolaos Xiromeritis, Christos P. Sotiriou, Nikolaos Sketopoulos Graph-based STA for asynchronous controllers. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Carl Christian Rheinländer, Norbert Wehn Harvester-aware transient computing: Utilizing the mechanical inertia of kinetic energy harvesters for a proactive frequency-based power loss detection. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mounira Bchir, Imen Aloui, Nejib Hassen A bulk-driven quasi-floating gate FVF current mirror for low voltage, low power applications. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Vu Trung Duong Le, Nguyen Thi Thanh Thuy, Lam Duc Khai A fast approach for bitcoin blockchain cryptocurrency mining system. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hongmei Chen 0005, Yongsheng Yin, Tao Liu, Linhao Gan, Rui Xiao, Hui Yan, Honghui Deng A split-based fully digital feedforward background calibration technique for timing mismatch in TIADC. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Michele Caselli, Andrea Boni Modeling and design of 3-D MPPT for ultra low power RF energy harvesters. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ankur Changela, Mazad Zaveri, Deepak Verma FPGA implementation of high-performance, resource-efficient Radix-16 CORDIC rotator based FFT algorithm. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mohamed F. Tolba 0002, Ahmed S. Elwakil, Hammam Orabi, Mohammed Elnawawy, Fadi A. Aloul, Assim Sagahyroon, Ahmed G. Radwan FPGA implementation of a chaotic oscillator with odd/even symmetry and its application. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1André Feiler, Dominik Veit, Lukas Straczek, Jürgen Oehm Verification of a high precision CMOS sensor for angle-of-arrival (AOA) measurement of LED light in ultra-miniaturized applications. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jijun Ren Digital predistorter with improving index accuracy of lookup table based on FPGA. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Sayyed Mohammad Razavi, Seyyed Mohammad Razavi An efficient and reliable MRF-based methodology for designing low-power VLSI circuits. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yasuhiro Ogasahara, Yohei Hori, Toshihiro Katashita, Tomoki Iizuka, Hiromitsu Awano, Makoto Ikeda, Hanpei Koike Implementation of pseudo-linear feedback shift register-based physical unclonable functions on silicon and sufficient Challenge-Response pair acquisition using Built-In Self-Test before shipping. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Phrangboklang Lyngton Thangkhiew, Alwin Zulehner, Robert Wille, Kamalika Datta, Indranil Sengupta 0001 An efficient memristor crossbar architecture for mapping Boolean functions using Binary Decision Diagrams (BDD). Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Pallab Kumar Nath, Swapna Banerjee A high throughput pass parallel block decoder architecture for JPEG 2000 that prevents stalling in the decoding process. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Wenjian Yu, Cheng Zhuo, Weikang Qian Introduction to special issue of 2019 China Semiconductor Technology International Conference (CSTIC) Symposium on Design and Automation of Circuits and Systems. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Arindrajit Ghosh, Uddalak Bhattacharya, Manish Kumar, Swapna Banerjee Compiler compatible 5.66 Mb/mm2 8T 1R1W register file in 14 nm FinFET technology. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Chaoping Zhang, Robert Gallichan, David M. Budgett, Daniel McCormick A precision low-power analog front end in 180 nm CMOS for wireless implantable capacitive pressure sensors. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1K. Sravani, Rathnamala Rao A High Performance Early Acknowledged Asynchronous Pipeline using Hybrid-logic Encoding. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Shang Ma, Wei Cao, Shengqiang Jiang, Jianhao Hu, Xin Lei, Xiongzhong Xiong Design and implementation of SVM OTPC searching based on Shared Dot Product Matrix. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1M. Tanjidur Rahman, M. Sazadur Rahman, Huanyu Wang, Shahin Tajik, Waleed Khalil, Farimah Farahmandi, Domenic Forte, Navid Asadizanjani, Mark M. Tehranipoor Defense-in-depth: A recipe for logic locking to prevail. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mohammad Khaleqi Qaleh Jooq, Ali Mir 0001, Sattar Mirzakuchaki, Ali Farmani Design and performance analysis of wrap-gate CNTFET-based ring oscillators for IoT applications. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1TaiYu Cheng, Yukata Masuda, Jun Chen, Jaehoon Yu, Masanori Hashimoto Logarithm-approximate floating-point multiplier is applicable to power-efficient neural network training. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Christoph Niemann 0002, Munawar Ali, Obaid Ullah Shah, Jakob Heller, Dirk Timmermann Sensor based adaptive voltage scaling on FPGAs: Calibration and parametrization. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Anugrah Jain, Vijay Laxmi, Meenakshi Tripathi, Manoj Singh Gaur, Rimpy Bishnoi TRACK: An algorithm for fault-tolerant, dynamic and scalable 2D mesh network-on-chip routing reconfiguration. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Pablo Saraza-Canflanca, Javier Diaz-Fortuny, Rafael Castro-López, Elisenda Roca, Javier Martín-Martínez, Rosana Rodríguez, Montserrat Nafría, Francisco V. Fernández 0001 A robust and automated methodology for the analysis of Time-Dependent Variability at transistor level. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jiankai Tu, Qinming Zhang, Chenyang Zhang, Chengwei Zhou A Noise-Aware Real-Time Processing Approach for Electroencephalogram Signal Classification. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Vala Sadrafshari, Shamin Sadrafshari, Mohammad Sharifkhani Yield constrained automated design algorithm for power optimized pipeline ADC. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Xiaole Cui, Qiujun Lin, Xiaoxin Cui, Feng Wei, Xiaoyan Liu, Jinfeng Kang The synthesis method of logic circuits based on the iMemComp gates. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ievgen Kabin, Zoya Dyka, Dan Klann, Peter Langendörfer Methods increasing inherent resistance of ECC designs against horizontal attacks. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Adel Hosseiny, Ghassem Jaberipur Complex exponential functions: A high-precision hardware realization. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mahsa Hadjmohammadi, Hossein Miar Naimi, Hojat Ghonoodi On the quadrature accuracy of in-phase coupled quadrature LC oscillator. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Esteban Garzón, Raffaele De Rose, Felice Crupi, Lionel Trojman, Giovanni Finocchio, Mario Carpentieri, Marco Lanuzza Assessment of STT-MRAMs based on double-barrier MTJs for cache applications by means of a device-to-system level simulation framework. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Maoyuan Qin, Xinmu Wang, Baolei Mao, Dejun Mu, Wei Hu 0008 A formal model for proving hardware timing properties and identifying timing channels. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Himadri Singh Raghav, V. A. Bartlett Investigating the influence of adiabatic load on the 4-phase adiabatic system design. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Saleha Bano, Ghous Bakhsh Narejo, Syed M. Usman Ali Flipped voltage follower based fourth order filter and its application to portable ECG acquisition system. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Feiran Liu, Chien-In Henry Chen High two-signal dynamic range and accurate frequency measurement for close frequency separation wideband digital receiver using adaptive gain control and adaptive thresholding. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Maximilian Neuner, Helmut Graeb Verification and revision of the power-down mode for hierarchical analog circuits. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Honghui Deng, Yijun Hu, Liang Wang An efficient background calibration technique for analog-to-digital converters based on neural network. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Xingquan Li, Bei Yu 0001, Jianli Chen, Wenxing Zhu DSA guiding template assignment with multiple redundant via and dummy via insertion. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mohammadreza Esmali Nojehdeh, Mustafa Altun Systematic synthesis of approximate adders and multipliers with accurate error calculations. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Wenfa Zhan, Zhiwei Shao Test patterns reordering method based on Gamma distribution. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Alfio Di Mauro, Davide Rossi, Antonio Pullini, Philippe Flatresse, Luca Benini Performance-aware predictive-model-based on-chip body-bias regulation strategy for an ULP multi-core cluster in 28 nm UTBB FD-SOI. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hui Peng, Herbert De Pauw, Pieter Bauwens, Jan Doutreloigne A high-efficiency charge pump with charge recycling scheme and finger boost capacitor. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Rajit Karmakar, Suman Sekhar Jana, Santanu Chattopadhyay A cellular automata guided two level obfuscation of Finite-State-Machine for IP protection. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Carmine Paolino, Luciano Prono, Fabio Pareschi, Mauro Mangia, Riccardo Rovatti, Gianluca Setti A passive and low-complexity Compressed Sensing architecture based on a charge-redistribution SAR ADC. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Sauvagya Ranjan Sahoo, Kamalakanta Mahapatra A novel area efficient on-chip RO-Sensor for recycled IC detection. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Qi Xu, Song Chen 0001, Hao Geng, Bo Yuan, Bei Yu 0001, Feng Wu 0001, Zhengfeng Huang Fault tolerance in memristive crossbar-based neuromorphic computing systems. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tom Drechsel, Niko Joram, Frank Ellinger An ultra-wideband 6-14 GHz frequency modulated continuous wave primary radar with 3 cm range resolution. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Piotr Patronik On reverse converters for arbitrary multi-moduli RNS. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ranjana Sivaram, Kirti Gupta, Neeta Pandey A new realization scheme for dynamic PFSCL style. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Naveen Kr. Kabra, Zuber M. Patel Area and power efficient hard multiple generator for radix-8 modulo 2n - 1 multiplier. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1David C. C. Freitas, David F. M. Mota, Roger C. Goerl, César A. M. Marcon, Fabian Vargas 0001, Jarbas A. N. Silveira, João Cesar M. Mota PCoSA: A product error correction code for use in memory devices targeting space applications. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Taehwan Kim 0007, Kwangok Jeong, Jungyun Choi, Taewhan Kim, Kyu-Myung Choi SRAM on-chip monitoring methodology for high yield and energy efficient memory operation at near threshold voltage. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Maxim Shepovalov, Venkatesh Akella FPGA and GPU-based acceleration of ML workloads on Amazon cloud - A case study using gradient boosted decision tree library. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Johanna Baehr, Alessandro Bernardini, Georg Sigl, Ulf Schlichtmann Machine learning and structural characteristics for reverse engineering. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ricardo Póvoa, António Canelas, Ricardo Martins 0003, Nuno Horta, Nuno Lourenço 0003, João Goes A new family of CMOS inverter-based OTAs for biomedical and healthcare applications. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Navid Khoshavi, Mohammad Maghsoudloo, Yu Bi, William Francois, Luis Gabriel Jaimes, Arman Sargolzaei A survey on attack vectors in stack cache memory. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ziran Zhu, Zhipeng Huang 0009, Peng Yang, Wenxing Zhu, Jianli Chen, Hanbin Zhou, Senhua Dong Mixed-cell-height legalization considering complex minimum width constraints and half-row fragmentation effect. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Milan Copic, Rainer Leupers, Gerd Ascheid Reducing idle time in event-triggered software execution via runnable migration and DPM-Aware scheduling. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Vijaypal Singh Rathor, Bharat Garg, G. K. Sharma 0001 New lightweight Anti-SAT block design and obfuscation technique to thwart removal attack. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mohammad Eslami, Behnam Ghavami, Mohsen Raji, Ali Mahani 0001 A survey on fault injection methods of digital integrated circuits. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Sanjay Vidhyadharan, Surya Shankar Dan, Abhay S. Vidhyadharan, Ramakant Yadav, Simhadri Hariprasad Novel gate-overlap tunnel FET based innovative ultra-low-power ternary flash ADC. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ethan Chen, Vanessa Chen In-sensor time-domain classifiers using pseudo sigmoid activation functions. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Fotios Ntouskas, Constantinos Efstathiou, Kiamal Z. Pekmestzi Efficient design of magnitude and 2's complement comparators. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Sheikh Wasmir Hussain, Telajala Venkata Mahendra, Sandeep Mishra, Anup Dandapat Low-power content addressable memory design using two-layer P-N match-line control and sensing. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jongsung Kang, Taewhan Kim PV-MAC: Multiply-and-accumulate unit structure exploiting precision variability in on-device convolutional neural networks. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Roberto Giorgio Rizzo, Andrea Calimera, Jun Zhou 0017 Corrigendum to"Approximate error detection-correction for efficient adaptive voltage Over-Scaling"[Integration 63 (2018) 220-231]. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Shaoyi Peng, Ertugrul Demircan, Mehul D. Shroff, Sheldon X.-D. Tan Full-chip wire-oriented back-end-of-line TDDB hotspot detection and lifetime analysis. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Valentin Gutierrez, Gildas Léger An adaptive simulation framework for AMS-RF test quality. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jani Babu Shaik, Sonal Singhal, Nilesh Goel Analysis of SRAM metrics for data dependent BTI degradation and process variability. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Bernhard Lippmann, Niklas Unverricht, Aayush Singla, Matthias Ludwig 0005, Michael Werner, Peter Egger, Anja Dübotzky, Helmut Gräb, Horst A. Gieser, Martin Rasche, Oliver Kellermann Verification of physical designs using an integrated reverse engineering flow for nanoscale technologies. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Pratosh Kumar Pal, Rajendra Kumar Nagaria A Sub-1 V nanopower subthreshold current and voltage reference using current subtraction technique and cascoded active load. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Steve Bigalke, Jens Lienig Avoidance vs. repair: New approaches to increasing electromigration robustness in VLSI routing. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Seyyed Hasan Mozafari, Brett H. Meyer Characterizing the Effectiveness of Hot Sparing on Cost and Performance-per-Watt in Application Specific SIMT. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Geethu Sathees Babu, Lakshmi Renuka Madala, Gopalakrishnan Lakshminarayanan, Mathini Sellathurai Low-complex processing element architecture for successive cancellation decoder. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xizi Chen, Jingbo Jiang, Jingyang Zhu, Chi-Ying Tsui SubMac: Exploiting the subword-based computation in RRAM-based CNN accelerator for energy saving and speedup. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Omayma Matoussi, Frédéric Pétrot Loop aware CFG matching strategy for accurate performance estimation in IR-level native simulation. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kanika, R. Sankara Prasad, Nitin Chaturvedi, S. Gurunarayanan 0001 A low power high speed MTJ based non-volatile SRAM cell for energy harvesting based IoT applications. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tram Thi Bao Nguyen, Hanho Lee Low-complexity multi-mode multi-way split-row layered LDPC decoder for gigabit wireless communications. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1P. Anagnostou, Andres Gomez 0001, Pascal A. Hager, Hamed Fatemi, José Pineda de Gyvez, Lothar Thiele, Luca Benini Energy and power awareness in hardware schedulers for energy harvesting IoT SoCs. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Aaron Stillmaker, Bevan M. Baas Corrigendum to "Scaling equations for the accurate prediction of CMOS device performance from 180 nm to 7 nm" [Integr. VLSI J. 58. (2017) 74-81]. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Luca Crocetti, Luca Baldanzi, Matteo Bertolucci, Luca Sarti, Berardino Carnevale, Luca Fanucci A simulated approach to evaluate side-channel attack countermeasures for the Advanced Encryption Standard. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 1972 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license