The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Prefetch with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1978-1992 (15) 1993-1994 (17) 1995-1996 (23) 1997 (18) 1998-1999 (31) 2000 (23) 2001 (30) 2002 (37) 2003 (28) 2004 (53) 2005 (47) 2006 (28) 2007 (43) 2008 (31) 2009 (23) 2010 (15) 2011-2012 (26) 2013-2015 (17) 2016-2018 (19) 2019-2020 (21) 2021-2022 (18) 2023-2024 (12)
Publication types (Num. hits)
article(139) inproceedings(434) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 568 occurrences of 320 keywords

Results
Found 575 publication records. Showing 575 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10Harel Paz, Erez Petrank Using Prefetching to Improve Reference-Counting Garbage Collectors. Search on Bibsonomy CC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Aruna Balasubramanian, Yun Zhou, W. Bruce Croft, Brian Neil Levine, Arun Venkataramani Web search from a bus. Search on Bibsonomy Challenged Networks The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Internet, web search, application, testbed, disruption-tolerant networking
10Juan Chen 0001, Yong Dong, Huizhan Yi, Xuejun Yang Power-Aware Software Prefetching. Search on Bibsonomy ICESS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Xinhua Tian, Minxuan Zhang A Unified Compressed Cache Hierarchy Using Simple Frequent Pattern Compression and Partial Cache Line Prefetching. Search on Bibsonomy ICESS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Abdolreza Abhari, Adam Serbinski, Miso Gusic Improving the performance of Apache web server. Search on Bibsonomy SpringSim (1) The full citation details ... 2007 DBLP  BibTeX  RDF web page structure, web server, web caching, trace driven simulation, proxy cache
10Pao-Ann Hsiung, Chih-Wen Liu Exploiting Hardware and Software Low Power Techniques for Energy Efficient Co-scheduling in Dynamically Reconfigurable Systems. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Cheng Huang 0002, Jin Li 0001, Keith W. Ross Can internet video-on-demand be profitable? Search on Bibsonomy SIGCOMM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF peer-to-peer, video-on-demand, ISP-friendly
10Huaiyang Li, Changsheng Xie, Yan Liu 0010 A New Method of Pefetching I/O Requests. Search on Bibsonomy IEEE NAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Xiaodong Zhang 0001 Locality-aware Buffer Management: Algorithms Design and Systems Implementation for Data Intensive Applications (A Brief Progress Report). Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Shiow-Yang Wu, Jungchu Hsu, Chieh-Ming Chen Headlight prefetching for mobile media streaming. Search on Bibsonomy MobiDE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF prefetching, media streaming, mobile data management
10Tomás Skopal, David Hoksza, Jaroslav Pokorný Construction of Tree-Based Indexes for Level-Contiguous Buffering Support. Search on Bibsonomy DASFAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Sandeep Prakash, Sourav S. Bhowmick, Klarinda G. Widjanarko, C. Forbes Dewey Jr. Efficient XML Query Processing in RDBMS Using GUI-Driven Prefetching in a Single-User Environment. Search on Bibsonomy DASFAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Ju-Hyun Kim, Gyoung-Hwan Hyun, Hyuk-Jae Lee Cache Organizations for H.264/AVC Motion Compensation. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Ronald G. Dreslinski, Ali G. Saidi, Trevor N. Mudge, Steven K. Reinhardt Analysis of hardware prefetching across virtual page boundaries. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF prefetching, virtual memory
10Yang Qu, Kari Tiensyrjä, Juha-Pekka Soininen, Jari Nurmi System-Level Design for Partially Reconfigurable Hardware. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Shuang Liang, Song Jiang 0001, Xiaodong Zhang 0001 STEP: Sequentiality and Thrashing Detection Based Prefetching to Improve Performance of Networked Storage Servers. Search on Bibsonomy ICDCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Jinpyo Kim, Wei-Chung Hsu, Pen-Chung Yew COBRA: An Adaptive Runtime Binary Optimization Framework for Multithreaded Applications. Search on Bibsonomy ICPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Sudarshan Banerjee, Elaheh Bozorgzadeh, Nikil D. Dutt Integrating Physical Constraints in HW-SW Partitioning for Architectures With Partial Dynamic Reconfiguration. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Yuan Xie 0001, Wayne H. Wolf, Haris Lekatsas Code Compression for Embedded VLIW Processors Using Variable-to-Fixed Coding. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Moon-Hee Choi, Woo-Chan Park, Francis Neelamkavil, Tack-Don Han, Shin-Dug Kim An Effective Visibility Culling Method Based on Cache Block. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF visible/surface algorithms, Computer graphics, cache memories, graphics processors
10Ilya Ganusov, Martin Burtscher Future execution: A prefetching mechanism that uses multiple cores to speed up single threads. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Future execution, chip multiprocessors, prefetching, memory wall
10Nathaniel McIntosh, Sandya Mannarswamy, Robert Hundt Whole-program optimization of global variable layout. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF compiler-directed memory management, global variable layout, data caches
10Georgi Gaydadjiev, Stamatis Vassiliadis SAD Prefetching for MPEG4 Using Flux Caches. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Flux caches, Prefetching mechanisms, Multimedia, Reconfigurable architectures
10Yang Qu, Juha-Pekka Soininen, Jari Nurmi A parallel configuration model for reducing the run-time reconfiguration overhead. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Akshat Verma, Sandeep Sen Algorithmic Ramifications of Prefetching in Memory Hierarchy. Search on Bibsonomy HiPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Dong Xin, Hong Cheng 0001, Xifeng Yan, Jiawei Han 0001 Extracting redundancy-aware top-k patterns. Search on Bibsonomy KDD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF redundancy, significance, pattern extraction
10Ken C. K. Lee, Wang-Chien Lee, Julian Winter, Baihua Zheng, Jianliang Xu CS cache engine: data access accelerator for location-based service in mobile environments. Search on Bibsonomy SIGMOD Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Werner Kurschl, Stefan Mitsch, Rene Prokop SelectiveDES: A Distributed Event Service Add-On for Invocation-Based Middleware supporting Selective Multi-Channel Communication and Notification Delivery. Search on Bibsonomy ITNG The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Wen-Wen Hsieh, Po-Yuan Chen, TingTing Hwang A bus architecture for crosstalk elimination in high performance processor design. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction/data bus, architecture, high performance, crosstalk
10Abelardo López-Lagunas, Sek M. Chai Compiler Manipulation of Stream Descriptors for Data Access Optimization. Search on Bibsonomy ICPP Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Seung Woo Son 0001, Mahmut T. Kandemir Energy-aware data prefetching for multi-speed disks. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multi-speed disks, low power, prefetching, optimizing compiler
10Ken W. Batcher, Robert A. Walker 0001 Interrupt Triggered Software Prefetching for Embedded CPU Instruction Cache. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10In Seon Choi, Gi Hwan Cho Predictive Prefetching of Context-Aware Information in Mobile Networks. Search on Bibsonomy EUC Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Mehdi Modarressi, Shaahin Hessabi, Maziar Goudarzi A Data Prefetching Mechanism for Object-Oriented Embedded Systems Using Run-Time Profiling. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Alex Pajuelo, Antonio González 0001, Mateo Valero Speculative execution for hiding memory latency. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Onur Mutlu, Hyesoon Kim, Jared Stark, Yale N. Patt On Reusing the Results of Pre-Executed Instructions in a Runahead Execution Processor. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Onur Mutlu, Hyesoon Kim, David N. Armstrong, Yale N. Patt An Analysis of the Performance Impact of Wrong-Path Memory References on Out-of-Order and Runahead Execution Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF processor performance modeling, speculative execution, runahead execution, Single data stream architectures
10Zongming Fei, Mostafa H. Ammar, Ibrahim Kamel, Sarit Mukherjee An active buffer management technique for providing interactive functions in broadcast video-on-demand systems. Search on Bibsonomy IEEE Trans. Multim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Han Chen, Kai Li 0001, Bin Wei Memory Performance Optimizations For Real-Time Software HDTV Decoding. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF CPI, concurrency, cache, locality, prefetching, motion compensation, MPEG-2, decompression
10Ilya Ganusov, Martin Burtscher Future Execution: A Hardware Prefetching Technique for Chip Multiprocessors. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Yonghong Song, Spiros Kalogeropulos, Partha Tirumalai Design and Implementation of a Compiler Framework for Helper Threading on Multi-core Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Andrew Over, Peter E. Strazdins, Bill Clarke Cycle Accurate Memory Modelling: A Case-Study in Validation. Search on Bibsonomy MASCOTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Fredy Rivera, Milagros Fernández, Nader Bagherzadeh An Approach to Execute Conditional Branches onto SIMD Multi-Context Reconfigurable Architectures. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Xuan Liu, Tijun Lu, Huibo Jia A New Cache Model and Replacement Algorithm for Network Attached Optical Jukebox. Search on Bibsonomy WAIM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Kartik Sudeep, Ahmed Gheith Application analysis using memory pressure. Search on Bibsonomy Memory System Performance The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Ilya Ganusov, Martin Burtscher On the importance of optimizing the configuration of stream prefetchers. Search on Bibsonomy Memory System Performance The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hardware prefetching, stream prefetcher, runahead execution
10Wei Wu 0020, Kian-Lee Tan Cooperative Prefetching Strategies for Mobile Peers in a Broadcast Environment. Search on Bibsonomy DBISP2P The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Abhishek Sharma, Azer Bestavros, Ibrahim Matta dPAM: a distributed prefetching protocol for scalable asynchronous multicast in P2P systems. Search on Bibsonomy INFOCOM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Antonin Descampe, Jihong Ou, Philippe Chevalier, Benoît Macq Data prefetching for smooth navigation of large scale JPEG 2000 images. Search on Bibsonomy ICME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF data prefetching policy, smooth navigation, JPEG 2000 image, cache management, network resource
10Yongfeng Li, Kenneth Ong On Compensation Technique in Multimedia Streaming System. Search on Bibsonomy ICME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10S. H. Srinivasan Personalized Prefetching for Manets. Search on Bibsonomy ICME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Zhichao Li, Pilian He, Feng Li, Ming Lei Improving Searching Performance Based on Semantic Correlativity in Peer to Peer Network. Search on Bibsonomy SKG The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Leyla Nazhandali, Michael Minuth, Bo Zhai, Javin Olson, Todd M. Austin, David T. Blaauw A second-generation sensor network processor with application-driven memory optimizations and out-of-order execution. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF sensor network, energy efficiency, microprocessor, memory organization
10Yulin Wang, Guangjun Li, Xiaojun Wu, Shuisheng Lin An Efficient Cache Replacement Policy with Distinguishing Write Blocks from Read Blocks in Disk Arrays. Search on Bibsonomy ISPA Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Changsheng Xie, Zhen Zhao, Jian Liu, Wei Wu Using Blocks Correlations to Improve the I/O Performance of Large Network Storage System. Search on Bibsonomy ISPA Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Song Wu 0001, Hai Jin 0001, Jie Chu, Kaiqin Fan A Novel Cache Scheme for Cluster-Based Streaming Proxy Server. Search on Bibsonomy ICDCS Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Yin-Fu Huang, Jhao-Min Hsu Mining Web Logs to Improve Hit Ratios of Prefetching and Caching. Search on Bibsonomy Web Intelligence The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10You-wei Yuan, La-mei Yan, Qing-ping Guo An Efficient Web Page Allocation on a Server Using Adaptive Neural Networks. Search on Bibsonomy APWeb The full citation details ... 2005 DBLP  DOI  BibTeX  RDF refetching, Neural networks, World Wide Web, Self-Organization
10Shao-Shin Hung, Jin-Lin Hou, Wei Feng Huang, Damon Shing-Min Liu Hierarchical distance-based clustering for interactive VRML traversal patterns. Search on Bibsonomy ITRE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Dingqing Hu, Changsheng Xie, Bin Cai A Study of Parallel Prefetching Algorithms Using Trace-Driven Simulation. Search on Bibsonomy PDCAT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Yong Wang, Margaret Martonosi, Li-Shiuan Peh MARio: mobility-adaptive routing using route lifetime abstractions in mobile ad hoc networks. Search on Bibsonomy ACM SIGMOBILE Mob. Comput. Commun. Rev. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Christos Bouras, Agisilaos Konidaris, Dionysios Kostoulas Predictive Prefetching on the Web and Its Potential Impact in the Wide Area. Search on Bibsonomy World Wide Web The full citation details ... 2004 DBLP  DOI  BibTeX  RDF caching, Web Prefetching, prediction algorithms, trace based simulation
10Daniel Ortega, Mateo Valero, Eduard Ayguadé Dynamic Memory Instruction Bypassing. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF memory bypassing, Prefetching
10Nancy Tran, Daniel A. Reed Automatic ARIMA Time Series Modeling for Adaptive I/O Prefetching. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF adaptive prefetching, wavelets, time series analysis, pattern analysis, access patterns, least squares methods, Input/output, performance modeling and prediction
10Brian A. Fields, Rastislav Bodík, Mark D. Hill, Chris J. Newburn Interaction cost and shotgun profiling. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF modeling, Performance analysis, profiling, critical path
10Cheng-Zhong Xu 0001, Tamer I. Ibrahim A Keyword-Based Semantic Prefetching Approach in Internet News Services. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF NewsAgent, personalized news service, semantic locality, neural networks, prefetching
10Tsai-Yen Li, Wen-Hsiang Hsu A data management scheme for effective walkthrough in large-scale virtual environments. Search on Bibsonomy Vis. Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Spatial data management, Effective walkthrough, Caching, Prefetching, Large-scale virtual environment
10Ronny Lempel, Shlomo Moran Optimizing result prefetching in web search engines with segmented indices. Search on Bibsonomy ACM Trans. Internet Techn. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Distributed inverted indices, search engines, prefetching
10Savvas Gitzenis, Nicholas Bambos Efficient Data Prefetching for Power-Controlled Wireless Packet Networks. Search on Bibsonomy MobiQuitous The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Onur Mutlu, Hyesoon Kim, David N. Armstrong, Yale N. Patt Understanding the effects of wrong-path memory references on processor performance. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF processor performance analysis, wrong path modeling, wrong-path memory references, speculative execution, data prefetching, execution-driven simulation, cache pollution
10Hassan Sbeyti, Smaïl Niar, Lieven Eeckhout Adaptive Prefetching for Multimedia Applications in Embedded Systems. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Christopher Batten, Ronny Krashinsky, Steve Gerding, Krste Asanovic Cache Refill/Access Decoupling for Vector Machines. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Kyle J. Nesbit, James E. Smith 0001 Data Cache Prefetching Using a Global History Buffer. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Yao Guo 0001, Saurabh Chheda, Israel Koren, C. Mani Krishna 0001, Csaba Andras Moritz Energy-Aware Data Prefetching for General-Purpose Programs. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Costas Kyriacou, Paraskevas Evripidou, Pedro Trancoso CacheFlow: A Short-Term Optimal Cache Management Policy for Data Driven Multithreading. Search on Bibsonomy Euro-Par The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Lei Guo 0004, Song Jiang 0001, Li Xiao 0001, Xiaodong Zhang 0001 Exploiting Content Localities for Efficient Search in P2P Systems. Search on Bibsonomy DISC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Huaping Shen, Mohan Kumar, Sajal K. Das 0001, Zhijun Wang 0001 Energy-Efficient Caching and Prefetching with Data Consistency in Mobile Distributed Systems. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Abhishek Sharma, Azer Bestavros, Ibrahim Matta Performance Evaluation of Distributed Prefetching for Asynchronous Multicast in P2P Networks. Search on Bibsonomy WCW The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Kristian Kvilekval, Ambuj K. Singh SPREE: Object Prefetching for Mobile Computers. Search on Bibsonomy CoopIS/DOA/ODBASE (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Yao Guo 0001, Saurabh Chheda, Israel Koren, C. Mani Krishna 0001, Csaba Andras Moritz Energy Characterization of Hardware-Based Data Prefetching. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Wan-Song Zhang, Daxin Liu 0001 ART Based Predictive Caching System for XML P2P Database. Search on Bibsonomy GCC Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Shao-Shin Hung, Ting-Chia Kuo, Damon Shing-Min Liu An Efficient Mining and Clustering Algorithm for Interactive Walk-Through Traversal Patterns. Search on Bibsonomy Web Intelligence The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Rodric M. Rabbah, Hariharan Sandanagobalane, Mongkol Ekpanyapong, Weng-Fai Wong Compiler orchestrated prefetching via speculation and predication. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF prefetching, speculation, precomputation, predicated execution
10Perry H. Wang, Jamison D. Collins, Hong Wang 0003, Dongkeun Kim, Bill Greene, Kai-Ming Chan, Aamir B. Yunus, Terry Sych, Stephen F. Moore, John Paul Shen Helper threads via virtual multithreading on an experimental itanium® 2 processor-based platform. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF DB2 database, cache miss prefetching, itanium processor, switch-on-event, multithreading, helper thread, PAL
10Woo-Chan Park, Kil-Whan Lee, Il-San Kim, Tack-Don Han, Sung-Bong Yang An Effective Pixel Rasterization Pipeline Architecture for 3D Rendering Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF pixel cache, graphics hardware, 3D graphics, rendering hardware
10Suleyman Sair, Timothy Sherwood, Brad Calder A Decoupled Predictor-Directed Stream Prefetching Architecture. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Data prefetching, address prediction, stream buffers
10Jung-Hoon Lee, Seh-Woong Jeong, Shin-Dug Kim, Charles C. Weems An Intelligent Cache System with Hardware Prefetching for High Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF dual data cache, prefetching, Memory hierarchy, temporal locality, spatial locality
10Yan Solihin, Jaejin Lee, Josep Torrellas Correlation Prefetching with a User-Level Memory Thread. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF correlation prefetching, memory-side prefetching, intelligent memory architecture, Prefetching, heterogeneous system, processing-in-memory, helper threads
10Hassan Al-Sukhni, Ian Bratt, Daniel A. Connors Compiler-Directed Content-Aware Prefetching for Dynamic Data Structures. Search on Bibsonomy IEEE PACT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Jung-Hoon Lee, Gi-Ho Park, Shin-Dug Kim An Adaptive Multi-Module Cache with Hardware Prefetching Mechanism for Multimedia Applications. Search on Bibsonomy PDP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Cheng-Zhong Xu 0001, Tamer I. Ibrahim Towards Semantics-Based Prefetching to Reduce Web Access Latency. Search on Bibsonomy SAINT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Tatsushi Inagaki, Tamiya Onodera, Hideaki Komatsu, Toshio Nakatani Stride prefetching by dynamically inspecting objects. Search on Bibsonomy PLDI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Java just-in-time compiler, object inspection, stride prefetching
10Daniel Ortega, Eduard Ayguadé, Mateo Valero Dynamic memory instruction bypassing. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF on-chip memory management, superscalar processors
10Nam Sung Kim, Trevor N. Mudge The microarchitecture of a low power register file. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF write queue, low power, instruction level parallelism, register file, out-of-order processor
10Jen-Chu Liu, Wen-Tsuen Chen An Efficient Wireless Internet Access Scheme. Search on Bibsonomy ICOIN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Bin Huang, Xiaoning Peng, Nong Xiao, Bo Liu A High-Performance Intelligent Integrated Data Services System in Data Grid. Search on Bibsonomy GCC (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Xiaotong Zhuang, Hsien-Hsin S. Lee A Hardware-based Cache Pollution Filtering Mechanism for Aggressive Prefetches. Search on Bibsonomy ICPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Norikatsu Nagino, Seiji Yamada Future View: Web Navigation Based on Learning User?s Browsing Patterns. Search on Bibsonomy Web Intelligence The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Sudhir Vallamkondu, Le Gruenwald Integrating Purchase Patterns and Traversal Patterns to Predict HTTP Requests in E-Commerce Sites. Search on Bibsonomy CEC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Jun Wang 0001 CTFS: A New Light-Weight, Cooperative Temporary File System for Cluster-Based Web Servers. Search on Bibsonomy CLUSTER The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Temporal File system, Cluster-based Web Server, Cooperative, Performance Improvement, Disk I/Os
Displaying result #401 - #500 of 575 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license