|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 542 occurrences of 335 keywords
|
|
|
Results
Found 2210 publication records. Showing 2209 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
19 | Manoj Gupta 0001, Fermín Sánchez, Josep Llosa |
Hybrid multithreading for VLIW processors. |
CASES |
2009 |
DBLP DOI BibTeX RDF |
multithreading, clustered VLIW processors |
19 | Michael Paul, Andrew M. Finch, Eiichiro Sumita |
Language independent word segmentation for statistical machine translation. |
IUCS |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Pascal Fontaine |
Combinations of Theories for Decidable Fragments of First-Order Logic. |
FroCoS |
2009 |
DBLP DOI BibTeX RDF |
|
19 | K. Rustan M. Leino, Ronald Middelkoop |
Proving Consistency of Pure Methods and Model Fields. |
FASE |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Zusong Li, Dandan Huan, Weiwu Hu, Zhimin Tang |
Chip Multithreaded Consistency Model. |
J. Comput. Sci. Technol. |
2008 |
DBLP DOI BibTeX RDF |
Godson-2, computer architecture, multithreading, memory consistency model, event ordering |
19 | Wessam Hassanein, Layali K. Rashid, Moustafa A. Hammad |
Analyzing the Effects of Hyperthreading on the Performance of Data Management Systems. |
Int. J. Parallel Program. |
2008 |
DBLP DOI BibTeX RDF |
Hyper-threaded architectures, Performance, Databases, Simultaneous multithreading, Data management systems |
19 | Ghada Badr, Eric Joanis, Samuel Larkin, Roland Kuhn 0001 |
Manageable Phrase-Based Statistical Machine Translation Models. |
Computer Recognition Systems 2 |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Tino Teige, Martin Fränzle |
Stochastic Satisfiability Modulo Theories for Non-linear Arithmetic. |
CPAIOR |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Qiong Cai, José González 0002, Ryan N. Rakvic, Grigorios Magklis, Pedro Chaparro, Antonio González 0001 |
Meeting points: using thread criticality to adapt multicore hardware to parallel regions. |
PACT |
2008 |
DBLP DOI BibTeX RDF |
critical threads, meeting point thread characterization, multi-threaded application, thread balancing, thread delaying, low-power, microarchitecture, energy-aware |
19 | Roberto Bruttomesso, Alessandro Cimatti, Anders Franzén, Alberto Griggio, Roberto Sebastiani |
The MathSAT 4SMT Solver. |
CAV |
2008 |
DBLP DOI BibTeX RDF |
|
19 | A. Kumaran 0001, K. Saravanan 0001, Sandor Maurice |
wikiBABEL: community creation of multilingual data. |
Int. Sym. Wikis |
2008 |
DBLP DOI BibTeX RDF |
human aided machine translation, linguistic data creation, multilingual content creation, multilingual wiki, user-centered design |
19 | Bowen Zhou, Rong Zhang, Yuqing Gao |
Lexicalized reordering in multiple-graph based statistical machine translation. |
ICASSP |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Ergun Biçici, Marc Dymetman |
Dynamic Translation Memory: Using Statistical Machine Translation to Improve Translation Memory Fuzzy Matches. |
CICLing |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Andreas Eggers, Martin Fränzle, Christian Herde |
SAT Modulo ODE: A Direct SAT Approach to Hybrid Systems. |
ATVA |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Fernando Latorre, José González 0002, Antonio González 0001 |
Efficient resources assignment schemes for clustered multithreaded processors. |
IPDPS |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Jerneja Zganec-Gros, Stanislav Gruden |
MSD Recombination for Statistical Machine Translation into Highly-Inflected Languages. |
TSD |
2008 |
DBLP DOI BibTeX RDF |
|
19 | Raquel Sánchez Martínez, João Paulo Neto, Diamantino Caseiro |
Statistical Machine Translation of Broadcast News from Spanish to Portuguese. |
PROPOR |
2008 |
DBLP DOI BibTeX RDF |
Broadcast News Transcription, Language Model and Statistical Machine Translation, Automatic Speech Recognition, Acoustic Model |
19 | Yulai Zhao 0003, Xianfeng Li, Dong Tong 0001, Xu Cheng 0001 |
An Energy-Efficient Instruction Scheduler Design with Two-Level Shelving and Adaptive Banking. |
J. Comput. Sci. Technol. |
2007 |
DBLP DOI BibTeX RDF |
content associative memory (CAM), tag elimination, waiting instruction buffer, instruction scheduler, energy-efficient architecture |
19 | Christoph Tillmann, Tong Zhang 0001 |
A block bigram prediction model for statistical machine translation. |
ACM Trans. Speech Lang. Process. |
2007 |
DBLP DOI BibTeX RDF |
stochastic gradient descent, machine learning, maximum entropy, Statistical machine translation |
19 | Miquel Moretó, Francisco J. Cazorla, Alex Ramírez, Mateo Valero |
MLP-Aware Dynamic Cache Partitioning. |
PACT |
2007 |
DBLP DOI BibTeX RDF |
|
19 | Xiaodong Shi, Yidong Chen, Jianfeng Jia |
Dependency-Based Chinese-English Statistical Machine Translation. |
CICLing |
2007 |
DBLP DOI BibTeX RDF |
|
19 | Qingying Deng, Minxuan Zhang, Jiang Jiang |
Register File Management and Compiler Optimization on EDSMT. |
ISPA Workshops |
2007 |
DBLP DOI BibTeX RDF |
|
19 | Sava Krstic, Amit Goel |
Architecting Solvers for SAT Modulo Theories: Nelson-Oppen with DPLL. |
FroCoS |
2007 |
DBLP DOI BibTeX RDF |
|
19 | Venkatesan Packirisamy, Shengyue Wang, Antonia Zhai, Wei-Chung Hsu, Pen-Chung Yew |
Supporting Speculative Multithreading on Simultaneous Multithreaded Processors. |
HiPC |
2006 |
DBLP DOI BibTeX RDF |
|
19 | Jacob Engel, Joseph Meneskie, Taskin Koçak |
Performance analysis of network protocol offload in a simulation environment. |
ACM Southeast Regional Conference |
2006 |
DBLP DOI BibTeX RDF |
CPU utilization, gigabit NIC, offload engines, TCP, IP, UDP |
19 | Matthew Curtis-Maury, James Dzierwa, Christos D. Antonopoulos, Dimitrios S. Nikolopoulos |
Online strategies for high-performance power-aware thread execution on emerging multiprocessors. |
IPDPS |
2006 |
DBLP DOI BibTeX RDF |
|
19 | Miao Li, Peng Gao, Jian Zhang 0075, Yi Luo |
Phrase-Based Statistical Machine Translation by Using Reordering Search and Additional Features. |
ICIC (2) |
2006 |
DBLP DOI BibTeX RDF |
|
19 | Wei-Chun Ku, Shu-Hsuan Chou, Jui-Chin Chu, Chih-Heng Kang, Tien-Fu Chen, Jiun-In Guo |
Collaborative Multithreading: An Open Scalable Processor Architecture for Embedded Multimedia Applications. |
ICME |
2006 |
DBLP DOI BibTeX RDF |
|
19 | Evangelia Athanasaki, Nikos Anastopoulos, Kornilios Kourtis, Nectarios Koziris |
Exploring the Performance Limits of Simultaneous Multithreading for Scientific Codes. |
ICPP |
2006 |
DBLP DOI BibTeX RDF |
|
19 | Carlos García 0001, Manuel Prieto 0001, Javier Setoain, Francisco Tirado |
Enhancing the Performance of Multigrid Smoothers in Simultaneous Multithreading Architectures. |
VECPAR |
2006 |
DBLP DOI BibTeX RDF |
|
19 | Jessica H. Tseng, Krste Asanovic |
A Speculative Control Scheme for an Energy-Efficient Banked Register Fil. |
IEEE Trans. Computers |
2005 |
DBLP DOI BibTeX RDF |
speculative control, Low-power, superscalar, register file, simultaneous multithreading |
19 | Marco Bozzano, Roberto Bruttomesso, Alessandro Cimatti, Tommi A. Junttila, Silvio Ranise, Peter van Rossum, Roberto Sebastiani |
Efficient Satisfiability Modulo Theories via Delayed Theory Combination. |
CAV |
2005 |
DBLP DOI BibTeX RDF |
|
19 | Songpu Shang, Xiaodong Hu 0001, Tong Jing |
Rotational Steiner Ratio Problem Under Uniform Orientation Metrics. |
CJCDGCGT |
2005 |
DBLP DOI BibTeX RDF |
Uniform orientation metrics, VLSI design, Steiner tree, Steiner ratio |
19 | Lawrence Spracklen, Santosh G. Abraham |
Chip Multithreading: Opportunities and Challenges. |
HPCA |
2005 |
DBLP DOI BibTeX RDF |
|
19 | Chen Liu 0001, Jean-Luc Gaudiot |
Static Partitioning vs Dynamic Sharing of Resources in Simultaneous MultiThreading Microarchitectures. |
APPT |
2005 |
DBLP DOI BibTeX RDF |
|
19 | Bo Liang, Hong An, Fang Lu, Rui Guo |
Improving Latency Tolerance of Network Processors Through Simultaneous Multithreading. |
APPT |
2005 |
DBLP DOI BibTeX RDF |
|
19 | Christos D. Antonopoulos, Xiaoning Ding, Andrey N. Chernikov, Filip Blagojevic, Dimitrios S. Nikolopoulos, Nikos Chrisochoides |
Multigrain parallel Delaunay Mesh generation: challenges and opportunities for multithreaded architectures. |
ICS |
2005 |
DBLP DOI BibTeX RDF |
|
19 | Tipp Moseley, Dirk Grunwald, Joshua L. Kihm, Daniel A. Connors |
Methods for Modeling Resource Contention on Simultaneous Multithreading Processors. |
ICCD |
2005 |
DBLP DOI BibTeX RDF |
|
19 | Ahmed Ragab Nabhan, Ahmed A. Rafea |
Tuning statistical machine translation parameters using perplexity. |
IRI |
2005 |
DBLP DOI BibTeX RDF |
|
19 | Marcus Brazil, Pawel Winter, Martin Zachariasen |
Flexibility of Steiner Trees in Uniform Orientation Metrics. |
ISAAC |
2004 |
DBLP DOI BibTeX RDF |
|
19 | Wangqi Qiu, Weiping Shi |
Minimum moment Steiner trees. |
SODA |
2004 |
DBLP BibTeX RDF |
|
19 | Dongsoo Kang, Jean-Luc Gaudiot |
Speculation Control for Simultaneous Multithreading. |
IPDPS |
2004 |
DBLP DOI BibTeX RDF |
|
19 | Tomasz Madajczak |
An Optimal Abstraction Model for Hardware Multithreading in Modern Processor Architectures. |
PARELEC |
2004 |
DBLP DOI BibTeX RDF |
|
19 | Mainak Chaudhuri, Mark A. Heinrich |
SMTp: An Architecture for Next-generation Scalable Multi-threading. |
ISCA |
2004 |
DBLP DOI BibTeX RDF |
|
19 | Seong-Won Lee, Jean-Luc Gaudiot |
Clustered Microarchitecture Simultaneous Multithreading. |
Euro-Par |
2003 |
DBLP DOI BibTeX RDF |
|
19 | Kai-Feng Wang, Zhenzhou Ji, Mingzeng Hu |
Simultaneous Multithreading Trace Processors. |
APPT |
2003 |
DBLP DOI BibTeX RDF |
|
19 | Allan Snavely, Dean M. Tullsen, Geoffrey M. Voelker |
Symbiotic jobscheduling with priorities for a simultaneous multithreading processor. |
SIGMETRICS |
2002 |
DBLP DOI BibTeX RDF |
job scheduling, priorities, simultaneous multithreading |
19 | Shubhendu S. Mukherjee, Michael Kontz, Steven K. Reinhardt |
Detailed Design and Evaluation of Redundant Multithreading Alternatives. |
ISCA |
2002 |
DBLP DOI BibTeX RDF |
|
19 | Dongkeun Kim, Donald Yeung |
Design and evaluation of compiler algorithms for pre-execution. |
ASPLOS |
2002 |
DBLP DOI BibTeX RDF |
|
19 | Joan-Manuel Parcerisa, Antonio González 0001 |
Improving Latency Tolerance of Multithreading through Decoupling. |
IEEE Trans. Computers |
2001 |
DBLP DOI BibTeX RDF |
Access/execute decoupling, instruction-level parallelism, simultaneous multithreading, latency hiding, hardware complexity |
19 | Chi-Keung Luk |
Tolerating memory latency through software-controlled pre-execution in simultaneous multithreading processors. |
ISCA |
2001 |
DBLP DOI BibTeX RDF |
|
19 | Mohammad Banikazemi, Dhabaleswar K. Panda 0001 |
Can Scatter Communication Take Advantage of Multidestination Message Passing? |
HiPC |
2000 |
DBLP DOI BibTeX RDF |
|
19 | Patrick Crowley, Marc E. Fiuczynski, Jean-Loup Baer, Brian N. Bershad |
Characterizing processor architectures for programmable network interfaces. |
ICS |
2000 |
DBLP DOI BibTeX RDF |
|
19 | Soumyaroop Roy, Nagarajan Ranganathan, Srinivas Katkoori |
State-Retentive Power Gating of Register Files in Multicore Processors Featuring Multithreaded In-Order Cores. |
IEEE Trans. Computers |
2011 |
DBLP DOI BibTeX RDF |
CGMT, FGMT, Niagara, in-order, SMT, M5 |
19 | François Hantry, Mohand-Said Hacid, Romuald Thion |
Detection of Conflicting Compliance Rules. |
EDOCW |
2011 |
DBLP DOI BibTeX RDF |
unsatisfiable core, temporal logic, conflict, compliance, SMT, SAT solver |
19 | Samuel Thibault, Raymond Namyst, Pierre-André Wacrenier |
Building Portable Thread Schedulers for Hierarchical Multiprocessors: The BubbleSched Framework. |
Euro-Par |
2007 |
DBLP DOI BibTeX RDF |
Scheduling, Multi-Core, Threads, SMP, SMT, NUMA, Bubbles |
19 | Hirotake Esaki, Taizo Umezaki, Tetsumi Horikoshi |
Detection for Pickup Errors by Artificial Neural Networks. |
ICONIP (2) |
2007 |
DBLP DOI BibTeX RDF |
neural networks, vision, discriminant analysis, SMT |
19 | Mary Kiemb, Kiyoung Choi |
Memory and architecture exploration with thread shifting for multithreaded processors in embedded systems. |
CASES |
2004 |
DBLP DOI BibTeX RDF |
embedded systems, design space exploration, simultaneous multithreading, SMT |
19 | Robert S. Chappell, Francis Tseng, Yale N. Patt, Adi Yoaz |
Difficult-Path Branch Prediction Using Subordinate Microthreads. |
ISCA |
2002 |
DBLP DOI BibTeX RDF |
high performance microprocessor, SSMT, microthread, branch prediction, microarchitecture, SMT, helper thread |
19 | Mick Tegethoff, Tom Chen 0001 |
Simulation Techniques for the Manufacturing Test of MCMs. |
J. Electron. Test. |
1997 |
DBLP DOI BibTeX RDF |
simulation, test, DFT, yield, DFM, SMT, MCM, board |
18 | Ronald N. Kalla, Balaram Sinharoy, William J. Starke, Michael S. Floyd |
Power7: IBM's Next-Generation Server Processor. |
IEEE Micro |
2010 |
DBLP DOI BibTeX RDF |
Power7, eDRAM, DDR3, SMT operation, PowerPC architecture, processor, IBM, RAS |
18 | Sumit Gulwani |
Dimensions in program synthesis. |
PPDP |
2010 |
DBLP DOI BibTeX RDF |
deductive synthesis, inductive synthesis, sat solving, smt solving, machine learning, genetic programming, programming by demonstration, belief propagation, programming by examples, probabilistic inference |
18 | Jyotirmoy V. Deshmukh, E. Allen Emerson, Sriram Sankaranarayanan 0001 |
Symbolic Deadlock Analysis in Concurrent Libraries and Their Clients. |
ASE |
2009 |
DBLP DOI BibTeX RDF |
Concurrent Libraries, Static Analysis, Program Analysis, Deadlock Detection, SMT solvers |
18 | Andreas Eggers, Natalia Kalinnik, Stefan Kupferschmid, Tino Teige |
Challenges in Constraint-Based Analysis of Hybrid Systems. |
CSCLP |
2008 |
DBLP DOI BibTeX RDF |
mixed Boolean and arithmetic constraints, stochastic SMT, parallel solver, differential equations, Craig interpolation |
18 | K. Rustan M. Leino |
Specifying and verifying software. |
ASE |
2007 |
DBLP DOI BibTeX RDF |
verification, specification, programming, automation, languages, tool support, SMT solver |
18 | Håkan Zeffer, Zoran Radovic, Martin Karlsson, Erik Hagersten |
TMA: a trap-based memory architecture. |
ICS |
2006 |
DBLP DOI BibTeX RDF |
distributed shared memory (DSM), low complexity server design, node coherence checks, server design, simultaneous multi-threading (SMT), software coherence, trap-based memory architecture (TMA), chip multi processor (CMP) |
18 | Chao Wang 0001, Aarti Gupta, Malay K. Ganai |
Predicate learning and selective theory deduction for a difference logic solver. |
DAC |
2006 |
DBLP DOI BibTeX RDF |
difference logic, SAT, decision procedure, SMT solver |
18 | G. Edward Suh, Larry Rudolph, Srinivas Devadas |
Dynamic Partitioning of Shared Cache Memory. |
J. Supercomput. |
2004 |
DBLP DOI BibTeX RDF |
CMP and SMT, shared caches, cache partitioning |
18 | Joachim G. Clabes, Joshua Friedrich, Mark Sweet, Jack DiLullo, Sam G. Chu, Donald W. Plass, James Dawson, Paul Muench, Larry Powell, Michael S. Floyd, Balaram Sinharoy, Mike Lee, Michael Goulet, James Wagoner, Nicole S. Schwartz, Stephen L. Runyon, Gary Gorman, Phillip J. Restle, Ronald N. Kalla, Joseph McGill, J. Steve Dodson |
Design and implementation of the POWER5 microprocessor. |
DAC |
2004 |
DBLP DOI BibTeX RDF |
POWER5, simultaneous multi-threading (SMT), clock gating, power reduction, microprocessor design, temperature sensor |
13 | Paul Saves, Rémi Lafage, Nathalie Bartoli, Youssef Diouane, Jasper H. Bussemaker, Thierry Lefebvre, John T. Hwang, Joseph Morlier, Joaquim R. R. A. Martins |
SMT 2.0: A Surrogate Modeling Toolbox with a focus on hierarchical and mixed variables Gaussian processes. |
Adv. Eng. Softw. |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Giuseppe Spallitta, Gabriele Masina, Paolo Morettin, Andrea Passerini, Roberto Sebastiani |
Enhancing SMT-based Weighted Model Integration by structure awareness. |
Artif. Intell. |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Jaime Arias 0001, Kyungmin Bae, Carlos Olarte, Peter Csaba Ölveczky, Laure Petrucci |
A rewriting-logic-with-SMT-based formal analysis and parameter synthesis framework for parametric time Petri nets. |
CoRR |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Victoria Marie Tuck, Pei-Wei Chen, Georgios Fainekos, Bardh Hoxha, Hideki Okamoto, S. Shankar Sastry, Sanjit A. Seshia |
SMT-Based Dynamic Multi-Robot Task Allocation. |
CoRR |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Gianluca Redondi, Alessandro Cimatti, Alberto Griggio, Kenneth McMillan |
Invariant Checking for SMT-based Systems with Quantifiers. |
CoRR |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Zhengyang Lu, Stefan Siemer, Piyush Jha, Joel D. Day, Florin Manea, Vijay Ganesh |
Layered and Staged Monte Carlo Tree Search for SMT Strategy Synthesis. |
CoRR |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Thomas Hader, Daniela Kaufmann, Ahmed Irfan, Stéphane Graham-Lengrand, Laura Kovács |
MCSat-based Finite Field Reasoning in the Yices2 SMT Solver. |
CoRR |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Emanuele Bellini 0002, Alessandro De Piccoli, Mattia Formenti, David Gérault, Paul Huynh, Simone Pelizzola, Sergio Polese, Andrea Visconti |
Differential cryptanalysis with SAT, SMT, MILP, and CP: a detailed comparison for bit-oriented primitives. |
IACR Cryptol. ePrint Arch. |
2024 |
DBLP BibTeX RDF |
|
13 | David Mojzísek, Jan Hula |
Efficient Solver Scheduling and Selection for Satisfiability Modulo Theories (SMT) Problems. |
ICPRAM |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Samuel Judson, Matthew Elacqua, Filip Cano, Timos Antonopoulos, Bettina Könighofer, Scott J. Shapiro, Ruzica Piskac |
'Put the Car on the Stand': SMT-based Oracles for Investigating Decisions. |
CSLAW |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Stefan Holzer, Pantelis A. Frangoudis, Christos Tsigkanos, Schahram Dustdar |
SMT-as-a-Service for Fog-Supported Cyber-Physical Systems. |
ICDCN |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Jader M. Caldonazzo Garbelini, Danilo Sipoli Sanches, André Yoshiaki Kashiwabara, Aurora T. R. Pozo |
SMT: A High-Performance Approach for Counting Kmers. |
BIOSTEC (1) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Zi-Hao Guo, Ting-Chi Wang |
SMT-Based Layout Synthesis Approaches for Quantum Circuits. |
ISPD |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Hanna Lachnitt, Mathias Fleury, Leni Aniva, Andrew Reynolds 0001, Haniel Barbosa, Andres Nötzli, Clark W. Barrett, Cesare Tinelli |
IsaRare: Automatic Verification of SMT Rewrites in Isabelle/HOL. |
TACAS (1) |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Maximilian Heisinger, Florian Piminger, Martina Seidl |
From Decision Models To User-Guiding Configurators Using SMT. |
VaMoS |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Anand Yeolekar, Ravindra Metta, Samarjit Chakraborty |
SMT-based Control Safety Property Checking in Cyber-Physical Systems under Timing Uncertainties. |
VLSID |
2024 |
DBLP DOI BibTeX RDF |
|
13 | Artur Niewiadomski 0001, Maciej Nazarczuk, Mateusz Przychodzki, Magdalena Kacprzak, Wojciech Penczek, Andrzej Zbrzezny |
SMT4SMTL: A Tool for SMT-Based Satisfiability Checking of SMTL. |
AAMAS |
2024 |
DBLP BibTeX RDF |
|
13 | Thomas Haas, René Pascasl Maseli, Roland Meyer 0001, Hernán Ponce de León |
Static Analysis of Memory Models for SMT Encodings. |
Proc. ACM Program. Lang. |
2023 |
DBLP DOI BibTeX RDF |
|
13 | Aaron Bembenek, Michael Greenberg 0002, Stephen Chong |
From SMT to ASP: Solver-Based Approaches to Solving Datalog Synthesis-as-Rule-Selection Problems. |
Proc. ACM Program. Lang. |
2023 |
DBLP DOI BibTeX RDF |
|
13 | Fangke Ye, Jisheng Zhao, Jun Shirako, Vivek Sarkar |
Concrete Type Inference for Code Optimization using Machine Learning with SMT Solving. |
Proc. ACM Program. Lang. |
2023 |
DBLP DOI BibTeX RDF |
|
13 | Edvin Loh Yong Loke, Robiah Yusof, Othman Mohd, Erman Hamid, Haniza Nahar, Fahmi Arif, Suzi Iryanti Fadilah |
IOT Based Integrated COVID-19 Self-Monitoring Tool (COV-SMT) for Quarantine. |
Int. J. Interact. Mob. Technol. |
2023 |
DBLP DOI BibTeX RDF |
|
13 | Kyungsan Kim, Hyunseok Kim, Jinin So, Wonjae Lee, Junhyuk Im, Sungjoo Park, Jeonghyeon Cho, Hoyoung Song |
SMT: Software-Defined Memory Tiering for Heterogeneous Computing Systems With CXL Memory Expander. |
IEEE Micro |
2023 |
DBLP DOI BibTeX RDF |
|
13 | Reinhardt Seidel, Ben Rachinger, Nils Thielen, Konstantin Schmidt, Sven Meier, Jörg Franke |
Development and validation of a digital twin framework for SMT manufacturing. |
Comput. Ind. |
2023 |
DBLP DOI BibTeX RDF |
|
13 | Josué Feliu, Alberto Ros 0001, Manuel E. Acacio, Stefanos Kaxiras |
Speculative inter-thread store-to-load forwarding in SMT architectures. |
J. Parallel Distributed Comput. |
2023 |
DBLP DOI BibTeX RDF |
|
13 | Yean-Ru Chen, Si-Han Chen, Shangwei Lin 0001 |
SMT Solver With Hardware Acceleration. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2023 |
DBLP DOI BibTeX RDF |
|
13 | Joseph Scott, Aina Niemetz, Mathias Preiner, Saeed Nejati, Vijay Ganesh |
Algorithm selection for SMT. |
Int. J. Softw. Tools Technol. Transf. |
2023 |
DBLP DOI BibTeX RDF |
|
13 | Rosa Abbasi, Jonas Schiffl, Eva Darulova, Mattias Ulbrich, Wolfgang Ahrendt |
Combining rule- and SMT-based reasoning for verifying floating-point Java programs in KeY. |
Int. J. Softw. Tools Technol. Transf. |
2023 |
DBLP DOI BibTeX RDF |
|
13 | Joseph Scott, Aina Niemetz, Mathias Preiner, Saeed Nejati, Vijay Ganesh |
Publisher Correction: Algorithm selection for SMT. |
Int. J. Softw. Tools Technol. Transf. |
2023 |
DBLP DOI BibTeX RDF |
|
13 | Bohan Li 0002, Shaowei Cai 0001 |
Local Search For SMT On Linear and Multilinear Real Arithmetic. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
13 | Jaime Arias 0001, Kyungmin Bae, Carlos Olarte, Peter Csaba Ölveczky, Laure Petrucci, Fredrik Rømming |
Symbolic Analysis and Parameter Synthesis for Time Petri Nets Using Maude and SMT Solving. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
13 | Elisabeth Henkel, Jochen Hoenicke, Tanja Schindler |
Choose your Colour: Tree Interpolation for Quantified Formulas in SMT. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
Displaying result #401 - #500 of 2209 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ >>] |
|