The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase chip-multiprocessor (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1991-1999 (24) 2000-2001 (17) 2002-2003 (29) 2004 (22) 2005 (34) 2006 (65) 2007 (71) 2008 (70) 2009 (50) 2010 (37) 2011 (16) 2012-2013 (20) 2014-2015 (24) 2016-2018 (16) 2019-2021 (8)
Publication types (Num. hits)
article(109) incollection(1) inproceedings(387) phdthesis(6)
Venues (Conferences, Journals, ...)
MICRO(21) ISCA(18) ASPLOS(14) Conf. Computing Frontiers(14) IEEE Trans. Computers(14) ICCD(12) PaCT(12) DATE(11) IPDPS(11) HPCA(10) CODES+ISSS(9) ISLPED(8) SIGARCH Comput. Archit. News(7) CASES(6) IEEE Micro(6) IEEE PACT(6) More (+10 of total 192)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 526 occurrences of 280 keywords

Results
Found 503 publication records. Showing 503 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
11Stefanos Kaxiras, Girija J. Narlikar, Alan D. Berenbaum, Zhigang Hu Comparing power consumption of an SMT and a CMP DSP for mobile phone workloads. Search on Bibsonomy CASES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Jörg Hilgenstock, Klaus Herrmann 0002, Peter Pirsch Memory Organization of a Single-Chip Video Signal Processing System with Embedded DRAM. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
8Chen Tian 0002, Min Feng 0001, Rajiv Gupta 0001 Speculative parallelization using state separation and multiple value prediction. Search on Bibsonomy ISMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multicore processors, speculative parallelization
8Gaurav Dhiman, Vasileios Kontorinis, Dean M. Tullsen, Tajana Rosing, Eric Saxe, Jonathan Chew Dynamic workload characterization for power efficient scheduling on CMP systems. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF power, multi-cores, workload characterization
8Jih-Ching Chiu, Yu-Liang Chou, Ding-Siang Su A hyperscalar multi-core architecture. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cmps, dynamic multi-core chips, reconfigurable multi-core architectures, chip multiprocessors
8Li Zhao 0002, Ravi R. Iyer 0001, Srihari Makineni, Don Newell, Liqun Cheng NCID: a non-inclusive cache, inclusive directory architecture for flexible and efficient cache hierarchies. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cache, directory
8Eiman Ebrahimi, Chang Joo Lee, Onur Mutlu, Yale N. Patt Fairness via source throttling: a configurable and high-performance fairness substrate for multi-core memory systems. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fairness, shared memory systems, system performance, multi-core systems
8Kshitij Sudan, Niladrish Chatterjee, David W. Nellans, Manu Awasthi, Rajeev Balasubramonian, Al Davis Micro-pages: increasing DRAM efficiency with locality-aware data placement. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dram row-buffer management, data placement
8Fernando Castro, Regana Noor, Alok Garg, Daniel Chaver, Michael C. Huang 0001, Luis Piñuel, Manuel Prieto 0001, Francisco Tirado Replacing Associative Load Queues: A Timing-Centric Approach. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Jaejin Lee, Changhee Jung, Daeseob Lim, Yan Solihin Prefetching with Helper Threads for Loosely Coupled Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Takeshi Ogasawara, Ken Sakamura How lock contention affects energy use in a CMP server. Search on Bibsonomy OOPSLA Companion The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Java, energy efficiency, CMP, DVFS, lock contention
8Eiman Ebrahimi, Onur Mutlu, Chang Joo Lee, Yale N. Patt Coordinated control of multiple prefetchers in multi-core systems. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF prefetching, multi-core, feedback control, memory systems
8Harold Ishebabi, Philipp Mahr, Christophe Bobda, Martin Gebser, Torsten Schaub Application of ASP for Automatic Synthesis of Flexible Multiprocessor Systems from Parallel Programs. Search on Bibsonomy LPNMR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Alyssa Bonnoit, Sebastian Herbert, Diana Marculescu, Lawrence T. Pileggi Integrating dynamic voltage/frequency scaling and adaptive body biasing using test-time voltage selection. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic voltage / frequency scaling, body biasing
8Noriko Takagi, Hiroshi Sasaki 0001, Masaaki Kondo, Hiroshi Nakamura Cooperative shared resource access control for low-power chip multiprocessors. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power, chip multiprocessors, cache partitioning, dvfs, resource conflict
8Shekhar Srikantaiah, Reetuparna Das, Asit K. Mishra, Chita R. Das, Mahmut T. Kandemir A case for integrated processor-cache partitioning in chip multiprocessors. Search on Bibsonomy SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Yang Ding, Mahmut T. Kandemir, Mary Jane Irwin, Padma Raghavan Adapting Application Mapping to Systematic Within-Die Process Variations on Chip Multiprocessors. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Wei Hu 0001, Tianzhou Chen, Qingsong Shi Exploring multicore computing education in China by model curriculum construction. Search on Bibsonomy Summit on Computing Education in China The full citation details ... 2008 DBLP  DOI  BibTeX  RDF model curriculum, programming, multicore
8Jonathan A. Winter, David H. Albonesi Scheduling algorithms for unpredictably heterogeneous CMP architectures. Search on Bibsonomy DSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8José L. Abellán, Juan Fernández 0001, Manuel E. Acacio CellStats: A Tool to Evaluate the Basic Synchronization and Communication Operations of the Cell BE. Search on Bibsonomy PDP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Luke Yen, Stark C. Draper, Mark D. Hill Notary: Hardware techniques to enhance signatures. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Kaiyu Chen, Sharad Malik, Priyadarsan Patra Runtime validation of memory ordering using constraint graph checking. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Ricardo Fernández Pascual, José M. García 0001, Manuel E. Acacio, José Duato Fault-Tolerant Cache Coherence Protocols for CMPs: Evaluation and Trade-Offs. Search on Bibsonomy HiPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Qi Zhang, Yurong Chen 0001, Yimin Zhang 0002, Yinlong Xu SIFT implementation and optimization for multi-core systems. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Michel Dubois 0001, Hyunyoung Lee STAMP: A universal algorithmic model for next-generation multithreaded machines and systems. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Kevin Brownell, Gu-Yeon Wei, David M. Brooks Evaluation of voltage interpolation to address process variations. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Duo Li, Sheldon X.-D. Tan, Eduardo H. Pacheco, Murli Tirumala Parameterized transient thermal behavioral modeling for chip multiprocessors. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Yuanming Zhang, Kanemitsu Ootsu, Takashi Yokota, Takanobu Baba Clustered Decoupled Software Pipelining on Commodity CMP. Search on Bibsonomy ICPADS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8José L. Abellán, Juan Fernández 0001, Manuel E. Acacio Characterizing the Basic Synchronization and Communication Operations in Dual Cell-Based Blades. Search on Bibsonomy ICCS (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Jason Zebchuk, Srihari Makineni, Donald Newell Re-examining cache replacement policies. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Khalid Latif 0002, Moazzam Fareed Niazi, Hannu Tenhunen, Tiberiu Seceleanu, Sakir Sezer Application development flow for on-chip distributed architectures. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Jisheng Zhao, Matthew Horsnell, Mikel Luján, Ian Rogers, Chris C. Kirkham, Ian Watson Adaptive Loop Tiling for a Multi-cluster CMP. Search on Bibsonomy ICA3PP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multi-Cluster CMP, Automatic Parallelization, Loop Tiling, Feedback-Directed Optimization
8Wangyuan Zhang, Tao Li 0006 Managing multi-core soft-error reliability through utility-driven cross domain optimization. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Antonio Flores, Manuel E. Acacio, Juan L. Aragón Address Compression and Heterogeneous Interconnects for Energy-Efficient High-Performance in Tiled CMPs. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Xiaoye Sherry Li Evaluation of Sparse LU Factorization and Triangular Solution on Multicore Platforms. Search on Bibsonomy VECPAR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Sean Rul, Hans Vandierendonck, Koen De Bosschere Extracting coarse-grain parallelism in general-purpose programs. Search on Bibsonomy PPoPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF do-across, thread-level parallelism, coarse-grain parallelism
8Rezaul Alam Chowdhury, Vijaya Ramachandran Cache-efficient dynamic programming algorithms for multicores. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallelism, multicore, shared cache, distributed cache, cache-efficiency
8Olatunji Ruwase, Phillip B. Gibbons, Todd C. Mowry, Vijaya Ramachandran, Shimin Chen, Michael Kozuch, Michael P. Ryan Parallelizing dynamic information flow tracking. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dynamic information flow tracking (dift), log-based monitoring, parallel algorithm, taint analysis, program monitoring
8Guilherme Ottoni, David I. August Communication optimizations for global multi-threaded instruction scheduling. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF graph min-cut, communication, synchronization, data-flow analysis, multi-threading, instruction scheduling
8Alexander D. Rast, Xin Jin 0003, Muhammad Mukaram Khan, Steve B. Furber The Deferred Event Model for Hardware-Oriented Spiking Neural Networks. Search on Bibsonomy ICONIP (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Michael Gschwind, David Erb, Sid Manning, Mark Nutter An Open Source Environment for Cell Broadband Engine System Software. Search on Bibsonomy Computer The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip multiprocessors, open source software, multicore processors, Cell Broadband Engine
8Christopher B. Colohan, Anastassia Ailamaki, J. Gregory Steffan, Todd C. Mowry CMP Support for Large and Dependent Speculative Threads. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF databases, Multiprocessor Systems, cache coherence, thread-level speculation
8Kypros Constantinides, Stephen Plaza, Jason A. Blome, Valeria Bertacco, Scott A. Mahlke, Todd M. Austin, Bin Zhang 0011, Michael Orshansky Architecting a reliable CMP switch architecture. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF CMP switch, reliability, defect-tolerance
8Salman Khan 0002, Polychronis Xekalakis, John Cavazos, Marcelo Cintra Using PredictiveModeling for Cross-Program Design Space Exploration in Multicore Systems. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Neil Vachharajani, Ram Rangan, Easwaran Raman, Matthew J. Bridges, Guilherme Ottoni, David I. August Speculative Decoupled Software Pipelining. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Thuy Duong Vu, Chris R. Jesshope Formalizing SANE Virtual Processor in Thread Algebra. Search on Bibsonomy ICFEM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SANE Virtual Processor, microthreading, thread algebra
8Jun Sung Park, Jung-Gyu Park, Hyo-Jung Song Implementation of OpenMP Work-Sharing on the Cell Broadband Engine Architecture. Search on Bibsonomy IWOMP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Jie Tao 0001, Kim D. Hoàng, Wolfgang Karl CMP Cache Architecture and the OpenMP Performance. Search on Bibsonomy IWOMP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Karin Strauss, Xiaowei Shen, Josep Torrellas Uncorq: Unconstrained Snoop Request Delivery in Embedded-Ring Multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Jangwoo Kim, Nikos Hardavellas, Ken Mai, Babak Falsafi, James C. Hoe Multi-bit Error Tolerant Caches Using Two-Dimensional Error Coding. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Guilherme Ottoni, David I. August Global Multi-Threaded Instruction Scheduling. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Lu Peng 0001, Jih-Kwon Peir, Tribuvan K. Prakash, Yen-Kuang Chen, David M. Koppelman Memory Performance and Scalability of Intel's and AMD's Dual-Core Processors: A Case Study. Search on Bibsonomy IPCCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Lei Miao 0002, Yong Qi, Di Hou, Chang-li Wu, Yue-hua Dai Dynamic Power Management and Dynamic Voltage Scaling in Real-time CMP Systems. Search on Bibsonomy IEEE NAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Michel Dubois 0001, Hyunyoung Lee, Lan Lin STAMP: A Universal Algorithmic Model for Next-Generation Multithreaded Machines and Systems. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Feiqi Su, Xudong Shi 0003, Gang Liu, Ye Xia 0001, Jih-Kwon Peir Comparative evaluation of multi-core cache occupancy strategies. Search on Bibsonomy ICPADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8James Tuck 0001, Wei Liu 0014, Josep Torrellas CAP: Criticality analysis for power-efficient speculative multithreading. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Christopher J. Hughes, Radek Grzeszczuk, Eftychios Sifakis, Daehyun Kim 0001, Sanjeev Kumar, Andrew Selle, Jatin Chhugani, Matthew J. Holliman, Yen-Kuang Chen Physical simulation for animation and visual effects: parallelization and characterization for chip multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF parallelization, CMP, characterization, physical simulation
8Engin Ipek, Meyrem Kirman, Nevin Kirman, José F. Martínez Core fusion: accommodating software diversity in chip multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip multiprocessors, reconfigurable architectures, software diversity
8Arindam Mallik, Yu Zhang, Gokhan Memik Automated task distribution in multicore network processors using statistical analysis. Search on Bibsonomy ANCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Tomer Y. Morad, Uri C. Weiser, A. Kolodnyt, Mateo Valero, Eduard Ayguadé Performance, power efficiency and scalability of asymmetric cluster chip multiprocessors. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Guangyu Chen, Mahmut T. Kandemir, Ibrahim Kolcu Memory-Conscious Reliable Execution on Embedded Chip Multiprocessors. Search on Bibsonomy DSN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Jared C. Smolens, Brian T. Gold, Babak Falsafi, James C. Hoe Reunion: Complexity-Effective Multicore Redundancy. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Aamer Jaleel, Matthew Mattina, Bruce L. Jacob Last level cache (LLC) performance of data mining workloads on a CMP - a case study of parallel bioinformatics workloads. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Kypros Constantinides, Stephen Plaza, Jason A. Blome, Bin Zhang 0011, Valeria Bertacco, Scott A. Mahlke, Todd M. Austin, Michael Orshansky BulletProof: a defect-tolerant CMP switch architecture. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8JaeWoong Chung, Hassan Chafi, Chi Cao Minh, Austen McDonald, Brian D. Carlstrom, Christos Kozyrakis, Kunle Olukotun The common case transactional behavior of multithreaded programs. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Guilin Chen, Mahmut T. Kandemir, Feihui Li Energy-aware computation duplication for improving reliability in embedded chip multiprocessors. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Changhee Jung, Daeseob Lim, Jaejin Lee, Yan Solihin Helper thread prefetching for loosely-coupled multiprocessor systems. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Jeffrey Namkung, Dohyung Kim, Rajesh K. Gupta 0001, Igor Kozintsev, Jean-Yves Bouguet, Carole Dulong Phase guided sampling for efficient parallel application simulation. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF phase analysis, simulation, sampling, chip multiprocessors, multithreading
8Jie Tao 0001, Siegfried Schloissnig, Wolfgang Karl Analysis of the Spatial and Temporal Locality in Data Accesses. Search on Bibsonomy International Conference on Computational Science (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Mahmut T. Kandemir, Seung Woo Son 0001 Reducing power through compiler-directed barrier synchronization elimination. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF barrier elimination, low power, compiler
8Anca Mariana Molnos, Sorin Dan Cotofana, Marc J. M. Heijligers, Jos T. J. van Eijndhoven Static cache partitioning robustness analysis for embedded on-chip multi-processors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF robustness, cache partitioning, multi-processors
8Daewook Kim, Manho Kim, Gerald E. Sobelman DCOS: cache embedded switch architecture for distributed shared memory multiprocessor SoCs. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Stephen B. Furber, Steve Temple, Andrew D. Brown On-chip and inter-chip networks for modeling large-scale neural systems. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Ravi R. Iyer 0001, Mahesh Bhat, Li Zhao 0002, Ramesh Illikkal, Srihari Makineni, Michael Jones, Kumar Shiv, Donald Newell Exploring Small-Scale and Large-Scale CMP Architectures for Commercial Java Servers. Search on Bibsonomy IISWC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Ozcan Ozturk 0001, Mahmut T. Kandemir, Seung Woo Son 0001, Mustafa Karaköy Selective code/data migration for reducing communication energy in embedded MpSoC architectures. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF energy, migration, MPSoC
8Sri Hari Krishna Narayanan, Mahmut T. Kandemir, Ozcan Ozturk 0001 Compiler-Directed Power Density Reduction in NoC-Based Multi-Core Designs. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Wenbin Yao, Nianmin Yao, Shaobin Cai, Jun Ni Verification Environment for a SCMP Architecture. Search on Bibsonomy IMSCCS (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Wei Liu 0014, James Tuck 0001, Luis Ceze, Wonsun Ahn, Karin Strauss, Jose Renau, Josep Torrellas POSH: a TLS compiler that exploits program structure. Search on Bibsonomy PPoPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF TLS compiler, profiling, prefetching, thread-level speculation, multi-core architecture
8Christopher B. Colohan, Anastassia Ailamaki, J. Gregory Steffan, Todd C. Mowry Tolerating Dependences Between Large Speculative Threads Via Sub-Threads. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Jaidev P. Patwardhan, Vijeta Johri, Chris Dwyer, Alvin R. Lebeck A defect tolerant self-organizing nanoscale SIMD architecture. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF self-organizing, SIMD, data parallel, DNA, defect tolerance, nanocomputing, bit-serial
8Koushik Chakraborty, Philip M. Wells, Gurindar S. Sohi Computation spreading: employing hardware migration to specialize CMP cores on-the-fly. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dynamic specialization, cache locality
8Engin Ipek, Sally A. McKee, Rich Caruana, Bronis R. de Supinski, Martin Schulz 0001 Efficiently exploring architectural design spaces via predictive modeling. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sensitivity studies, artificial neural networks, performance prediction, design space exploration
8James Laudon Performance/Watt: the new server focus. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Norman P. Jouppi, Rakesh Kumar 0002, Dean M. Tullsen Introduction to the special issue on the 2005 workshop on design, analysis, and simulation of chip multiprocessors (dasCMP'05). Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8M. Wasiur Rashid, Edwin J. Tan, Michael C. Huang 0001, David H. Albonesi Exploiting Coarse-Grain Verification Parallelism for Power-Efficient Fault Tolerance. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8James A. Kahle The Cell Processor Architecture. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Smruti R. Sarangi, Wei Liu, Yuanyuan Zhou ReSlice: Selective Re-Execution of Long-Retired Misspeculated Instructions Using Forward Slicing. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Meyrem Kirman, Nevin Kirman, José F. Martínez Cherry-MP: Correctly Integrating Checkpointed Early Resource Recycling in Chip Multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Ayodele Thomas, Kunle Olukotun An Application Analysis Framework For Polymorphic Chip Multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Valentina Salapura, Randy Bickford, Matthias A. Blumrich, Arthur A. Bright, Dong Chen 0005, Paul Coteus, Alan Gara, Mark Giampapa, Michael Gschwind, Manish Gupta 0002, Shawn Hall, Ruud A. Haring, Philip Heidelberger, Dirk Hoenicke, Gerard V. Kopcsay, Martin Ohmacht, Rick A. Rand, Todd Takken, Pavlos Vranas Power and performance optimization at the system level. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF BlueGene/L, application performance analysis, application scaling in multiprocessor systems, power/performance efficient systems, power/performance tradeos in systems, chip multiprocessors, supercomputers
8Ethan Schuchman, T. N. Vijaykumar Rescue: A Microarchitecture for Testability and Defect Tolerance. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Mladen Nikitovic, Mats Brorsson A Low Power Strategy for Future Mobile Terminals. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Michael K. Chen, Kunle Olukotun TEST: A Tracer for Extracting Speculative Thread. Search on Bibsonomy CGO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Peter Rundberg, Per Stenström Speculative Lock Reordering: Optimistic Out-of-Order Execution of Critical Sections. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Chris R. Jesshope Multi-threaded Microprocessors - Evolution or Revolution. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Michael K. Chen, Kunle Olukotun The Jrpm System for Dynamically Parallelizing Java Programs. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Gokhan Memik, William H. Mangione-Smith Increasing power efficiency of multi-core network processors through data filtering. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF chip multiprocessors, network processors, data locality, remote procedure call, power reduction
8Fredrik Warg, Per Stenström Limits on Speculative Module-Level Parallelism in Imperative and Object-Oriented Programs on CMP Platforms. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
8José E. Moreira Blue Gene: A Massively Parallel System. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
8Lisa Wu 0001, Christopher T. Weaver, Todd M. Austin CryptoManiac: a fast flexible architecture for secure communication. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 503 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license