The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase gate-level (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1987 (15) 1988-1989 (16) 1990 (22) 1991-1992 (24) 1993 (15) 1994 (23) 1995 (38) 1996 (38) 1997 (31) 1998 (45) 1999 (39) 2000 (51) 2001 (32) 2002 (46) 2003 (40) 2004 (43) 2005 (60) 2006 (59) 2007 (79) 2008 (56) 2009 (38) 2010 (19) 2011 (18) 2012-2013 (24) 2014 (22) 2015 (19) 2016 (20) 2017 (20) 2018-2019 (30) 2020 (25) 2021-2022 (39) 2023 (16) 2024 (4)
Publication types (Num. hits)
article(309) data(1) incollection(3) inproceedings(749) phdthesis(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 838 occurrences of 477 keywords

Results
Found 1066 publication records. Showing 1066 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
13Chunhong Chen, Ran Xiao A fast model for analysis and improvement of gate-level circuit reliability. Search on Bibsonomy Integr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
13Sandip Ghosal, Debasis Mitra 0002, Subhasis Bhattacharjee Certificate-based encoding of gate level description for secure transmission. Search on Bibsonomy Int. J. Electron. Secur. Digit. Forensics The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
13Masaru Oya, Youhua Shi, Noritaka Yamashita, Toshihiko Okamura, Yukiyasu Tsunoo, Satoshi Goto, Masao Yanagisawa, Nozomu Togawa A Hardware-Trojans Identifying Method Based on Trojan Net Scoring at Gate-Level Netlists. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
13Zhao Wang, Xiao He, Carl Sechen A New Approach for Gate-Level Delay-Insensitive Asynchronous Logic. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
13Domenico Albano, Marco Lanuzza, Ramiro Taco, Felice Crupi Gate-level body biasing for subthreshold logic circuits: analytical modeling and design guidelines. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
13Sergei Kostin, Jaan Raik, Raimund Ubar, Maksim Jenihhin, Thiago Copetti, Fabian Vargas 0001, Letícia Maria Bolzani Pöhls SPICE-Inspired Fast Gate-Level Computation of NBTI-induced Delays in Nanoscale Logic. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
13Dongfang Li, Wenchao Liu 0003, Xuecheng Zou, Zhenglin Liu Hardware IP Protection through Gate-Level Obfuscation. Search on Bibsonomy CAD/Graphics The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
13Alejandro Nocua, Arnaud Virazel, Alberto Bosio, Patrick Girard 0001, Cyril Chevalier An efficient hybrid power modeling approach for accurate gate-level power estimation. Search on Bibsonomy ICM The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
13Masaru Oya, Youhua Shi, Masao Yanagisawa, Nozomu Togawa A score-based classification method for identifying hardware-trojans at gate-level netlists. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
13Burçin Çakir, Sharad Malik Hardware Trojan detection for gate-level ICs using signal correlation based clustering. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
13Elsa J. Gonsiorowski, Justin M. LaPre, Christopher D. Carothers Improving Accuracy and Performance Through Automatic Model Generation for Gate-Level Circuit PDES with Reverse Computation. Search on Bibsonomy SIGSIM-PADS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
13Jeremy Schlachter, Vincent Camus, Christian C. Enz, Krishna V. Palem Automatic generation of inexact digital circuits by gate-level pruning. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
13Xin Fang 0001, Pei Luo, Yunsi Fei, Miriam Leeser Balance power leakage to fight against side-channel analysis at gate level in FPGAs. Search on Bibsonomy ASAP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
13Makoto Ikeda Design and optimization of asynchronous circuits with gate-level pipelining. Search on Bibsonomy ASICON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
13Masato Tamura, Atsushi Ito, Makoto Ikeda Optimal design on asynchronous system with gate-level pipelining. Search on Bibsonomy ASICON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
13Kai Chen, Young Hwan Kim Current source model of combinational logic gates for accurate gate-level circuit analysis and timing analysis. Search on Bibsonomy VLSI-DAT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
13Maciej J. Ciesielski, Cunxi Yu, Walter Brown, Duo Liu, André Rossi Verification of gate-level arithmetic circuits by function extraction. Search on Bibsonomy DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
13Ran Xiao, Chunhong Chen Gate-Level Circuit Reliability Analysis: A Survey. Search on Bibsonomy VLSI Design The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Jinwook Kim, Young Hwan Kim Hybrid Gate-Level Leakage Model for Monte Carlo Analysis on Multiple GPUs. Search on Bibsonomy IEEE Access The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Jason Oberg, Sarah Meiklejohn, Timothy Sherwood, Ryan Kastner Leveraging Gate-Level Properties to Identify Hardware Timing Channels. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Pasquale Corsonello, Marco Lanuzza, Stefania Perri Gate-level body biasing technique for high-speed sub-threshold CMOS logic gates. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Wei Hu 0008, Dejun Mu, Jason Oberg, Baolei Mao, Mohit Tiwari, Timothy Sherwood, Ryan Kastner Gate-Level Information Flow Tracking for Security Lattices. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Palkesh Jain, Bapana Pudi, Meghna Sreenivasan Design-in-reliability: From library modeling and optimization to gate-level verification. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Shlomo Greenberg, Joseph Rabinowicz, Ron Tsechanski, Eugene Paperno Selective State Retention Power Gating Based on Gate-Level Analysis. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Soongyu Kwon, Jong Kang Park, Jong Tae Kim An approximated soft error analysis technique for gate-level designs. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Juan Núñez 0002, Maria J. Avedillo, Hector J. Quintero DOE based high-performance gate-level pipelines. Search on Bibsonomy PATMOS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Ghaith Bany Hamad, Syed Rafay Hasan, Otmane Aït Mohamed, Yvon Savaria Modeling, analyzing, and abstracting single event transient propagation at gate level. Search on Bibsonomy MWSCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Tariq B. Ahmad, Maciej J. Ciesielski Fast STA prediction-based gate-level timing simulation. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Alexandru Amaricai, Sergiu Nimara, Oana Boncalo, Jiaoyan Chen, Emanuel M. Popovici Probabilistic Gate Level Fault Modeling for Near and Sub-Threshold CMOS Circuits. Search on Bibsonomy DSD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Raghuraman Balasubramanian, Karthikeyan Sankaralingam Understanding the impact of gate-level physical reliability effects on whole program execution. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Marco Lanuzza, Ramiro Taco, Domenico Albano Dynamic gate-level body biasing for subthreshold digital design. Search on Bibsonomy LASCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Shreepad A. Panth, Kambiz Samadi, Yang Du 0001, Sung Kyu Lim Design and CAD methodologies for low power gate-level monolithic 3D ICs. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Franck Courbon, Philippe Loubet-Moundi, Jacques J. A. Fournier, Assia Tria Increasing the efficiency of laser fault injections using fast gate level reverse engineering. Search on Bibsonomy HOST The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Michael Meixner, Tobias G. Noll Limits of gate-level power estimation considering real delay effects and glitches. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Andrew J. Leiserson, Mark E. Marson, Megan A. Wachs Gate-Level Masking under a Path-Based Leakage Metric. Search on Bibsonomy CHES The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Li Zhang, Chip-Hong Chang Hardware Trojan detection with linear regression based gate-level characterization. Search on Bibsonomy APCCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Dean Sullivan, Jeff Biggers, Guidong Zhu, Shaojie Zhang, Yier Jin FIGHT-Metric: Functional Identification of Gate-Level Hardware Trustworthiness. Search on Bibsonomy DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
13Ching-Lung Su, Tse-Min Chen, Kuo-Hsuan Wu A Prototype-Based Gate-Level Cycle-Accurate Methodology for SoC Performance Exploration and Estimation. Search on Bibsonomy VLSI Design The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
13Wei Hu 0008, Jason Oberg, Janet Barrientos, Dejun Mu, Ryan Kastner Expanding Gate Level Information Flow Tracking for Multilevel Security. Search on Bibsonomy IEEE Embed. Syst. Lett. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
13Yu Jin, Zhe Du, Shinji Kimura Dual-Stage Pseudo Power Gating with Advanced Clustering Algorithm for Gate Level Power Optimization. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
13Angshuman Chakraborty, Sambhu Nath Pradhan Gate level leakage minimisation at 90 nm technology. Search on Bibsonomy Int. J. Comput. Aided Eng. Technol. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
13Albert Kwon, Udit Dhawan, Jonathan M. Smith, Thomas F. Knight Jr., André DeHon Low-fat pointers: compact encoding and efficient gate-level implementation of fat pointers for spatial safety and capability-based security. Search on Bibsonomy CCS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
13Tariq Bashir Ahmad, Maciej J. Ciesielski An Approach to Multi-core Functional Gate-Level Simulation Minimizing Synchronization and Communication Overheads. Search on Bibsonomy MTV The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
13Kosuke Oshima, Takeshi Matsumoto, Masahiro Fujita A debugging method for gate level circuit designs by introducing programmability. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
13Alan Mishchenko, Niklas Eén, Robert K. Brayton, Jason Baumgartner, Hari Mony, Pradeep Kumar Nalla GLA: gate-level abstraction revisited. Search on Bibsonomy DATE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
13Smriti Joshi, Anne Lombardot, Marc Belleville, Edith Beigné, Stéphane Girard A gate level methodology for efficient statistical leakage estimation in complex 32nm circuits. Search on Bibsonomy DATE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
13Benjamin Stefan Devlin, Makoto Ikeda, Kunihiro Asada Energy Minimum Operation with Self Synchronous Gate-Level Autonomous Power Gating and Voltage Scaling. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
13Yu Jin, Shinji Kimura On Gate Level Power Optimization of Combinational Circuits Using Pseudo Power Gating. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
13Wei Hu 0008, Jason Oberg, Ali Irturk, Mohit Tiwari, Timothy Sherwood, Dejun Mu, Ryan Kastner On the Complexity of Generating Gate Level Information Flow Tracking Logic. Search on Bibsonomy IEEE Trans. Inf. Forensics Secur. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
13Mihai Udrescu, Lucian Prodan, Mircea Vladutiu Simulated fault injection methodology for gate-level quantum circuit reliability assessment. Search on Bibsonomy Simul. Model. Pract. Theory The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
13Andrea Pellegrini, Robert Smolinski, Lei Chen, Xin Fu, Siva Kumar Sastry Hari, Junhao Jiang, Sarita V. Adve, Todd M. Austin, Valeria Bertacco CrashTest'ing SWAT: Accurate, gate-level evaluation of symptom-based resiliency solutions. Search on Bibsonomy DATE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
13Sandip Ghosal, Debasis Mitra 0002 Secure transmission of gate level description. Search on Bibsonomy RAIT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
13Nicolas Chevillon, Morgan Madec, Christophe Lallement Gate-level modeling for CMOS circuit simulation with ultimate FinFETs. Search on Bibsonomy NANOARCH The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
13Benjamin Stefan Devlin, Makoto Ikeda, Kunihiro Asada Gate-level process variation offset technique by using dual voltage supplies to achieve near-threshold energy efficient operation. Search on Bibsonomy COOL Chips The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
13Shohei Ono, Takeshi Matsumoto, Masahiro Fujita Automatic assertion extraction in gate-level simulation using GPGPUs. Search on Bibsonomy ICCD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
13Yiqiong Shi, Bah-Hwee Gwee, Ye Ren, Thet Khaing Phone, Chan Wai Ting Extracting functional modules from flattened gate-level netlist. Search on Bibsonomy ISCIT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
13Mu-Shun Matt Lee, Yi-Chu Liu, Wan-Rong Wu, Chien-Nan Jimmy Liu Peak wake-up current estimation at gate-level with standard library information. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
13Kai-Hui Chang, Chris Browy Improving gate-level simulation accuracy when unknowns exist. Search on Bibsonomy DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
13Benjamin Stefan Devlin, Makoto Ikeda, Kunihiro Asada A 65 nm Gate-Level Pipelined Self-Synchronous FPGA for High Performance and Variation Robust Operation. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Wei Hu 0008, Jason Oberg, Ali Irturk, Mohit Tiwari, Timothy Sherwood, Dejun Mu, Ryan Kastner Theoretical Fundamentals of Gate Level Information Flow Tracking. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Debapriya Chatterjee, Andrew DeOrio, Valeria Bertacco Gate-Level Simulation with GPU Computing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Dusung Kim, Maciej J. Ciesielski, Seiyang Yang A new distributed event-driven gate-level HDL simulation by accurate prediction. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Michal Rumplík, Josef Strnadel On RTL Testability and Gate-Level Stuck-At-Fault Coverage Correlation for Scan Circuits. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Benjamin Stefan Devlin, Makoto Ikeda, Kunihiro Asada A gate-level pipelined 2.97GHz Self Synchronous FPGA in 65nm CMOS. Search on Bibsonomy ASP-DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Moslem Didehban, Ario Sadafi, Sajjad Salehi, Mohammad Bagher Chami A Gate Level Analysis of Transient Faults Effects on Dual-Core Chip-Multi Processors. Search on Bibsonomy ARES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Levent Aksoy, Eduardo Costa 0001, Paulo F. Flores, José Monteiro 0001 Optimization of gate-level area in high throughput Multiple Constant Multiplications. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Benjamin Stefan Devlin, Makoto Ikeda, Kunihiro Asada Gate-level autonomous watchdog circuit for error robustness based on a 65nm self synchronous system. Search on Bibsonomy ICECS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Sidinei Ghissoni, Eduardo Costa 0001, José Monteiro 0001, Ricardo Reis 0001 Combination of constant matrix multiplication and gate-level approaches for area and power efficient hybrid radix-2 DIT FFT realization. Search on Bibsonomy ICECS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Ghaith Bany Hamad, Otmane Aït Mohamed, Syed Rafay Hasan, Yvon Savaria SEGP-Finder: Tool for identification of Soft Error Glitch-Propagating paths at gate level. Search on Bibsonomy ICECS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Benjamin Stefan Devlin, Makoto Ikeda, Kunihiro Asada Energy minimum operation in a reconfigurable gate-level pipelined and power-gated self synchronous FPGA. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
13Levent Aksoy, Cristiano Lazzari, Eduardo Costa 0001, Paulo F. Flores, José Monteiro 0001 Optimization of area in digit-serial Multiple Constant Multiplications at gate-level. Search on Bibsonomy ISCAS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Miroslav N. Velev, Ping Gao 0002 CNF encodings of cardinality in formal methods for robustness checking of gate-level circuits. Search on Bibsonomy ISCAS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Massoud Mokhtarpour Ghahroodi, Mark Zwolinski, Emre Özer 0001 Radiation hardening by design: A novel gate level approach. Search on Bibsonomy AHS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Tao Lin, Sheqin Dong, Song Chen 0001, Yuchun Ma, Ou He, Satoshi Goto Novel and efficient min cut based voltage assignment in gate level. Search on Bibsonomy ISQED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Jie Xiao, Jianhui Jiang, Xuguang Zhu, Chengtian Ouyang A Method of Gate-Level Circuit Reliability Estimation Based on Iterative PTM Model. Search on Bibsonomy PRDC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Mohit Tiwari, Xun Li 0001, Hassan M. G. Wassel, Bita Mazloom, Shashidhar Mysore, Frederic T. Chong, Timothy Sherwood Gate-Level Information-Flow Tracking for Secure Architectures. Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF covert channels, noninterference, high-assurance systems, timing channels, information-flow tracking
13Ali Namazi, Mehrdad Nourani Gate-Level Redundancy: A New Design-for-Reliability Paradigm for Nanotechnologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Hiroshi Iwata, Satoshi Ohtake, Hideo Fujiwara A Method of Path Mapping from RTL to Gate Level and Its Application to False Path Identification. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Sina Meraji, Wei Zhang 0034, Carl Tropper On the Scalability and Dynamic Load-Balancing of Optimistic Gate Level Simulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Dominik Lorenz, Georg Georgakos, Ulf Schlichtmann Aging-aware Timing Analysis of Combinatorial Circuits on Gate Level (Alterungsanalyse von kombinatorischen Schaltungen auf Gatterebene). Search on Bibsonomy it Inf. Technol. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Satoshi Ohtake, Hiroshi Iwata, Hideo Fujiwara A synthesis method to propagate false path information from RTL to gate level. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Levent Aksoy, Eduardo Costa 0001, Paulo F. Flores, José Monteiro 0001 Optimization of Area and Delay at Gate-Level in Multiple Constant Multiplications. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Mu-Shun Matt Lee, Kuo-Sheng Lai, Chia-Ling Hsu, Chien-Nan Jimmy Liu Dynamic IR drop estimation at gate level with standard library information. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Yiqiong Shi, Chan Wai Ting, Bah-Hwee Gwee, Ye Ren A highly efficient method for extracting FSMs from flattened gate-level netlist. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Amirali Ghofrani, Fatemeh Javaheri, Saeed Safari, Zainalabedin Navabi Automatic selection of efficient observability points in combinational gate level circuits using particle swarm optimization. Search on Bibsonomy SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Yoshinobu Higami, Kewal K. Saluja, Hiroshi Takahashi, Shin-ya Kobayashi, Yuzo Takamatsu An Algorithm for Diagnosing Transistor Shorts Using Gate-level Simulation. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Debapriya Chatterjee, Andrew DeOrio, Valeria Bertacco GCS: High-performance gate-level simulation with GPGPUs. Search on Bibsonomy DATE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Michal Bidlo, Zdenek Vasícek Investigating gate-level evolutionary development of combinational multipliers using enhanced cellular automata-based model. Search on Bibsonomy IEEE Congress on Evolutionary Computation The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Zbysek Gajda, Lukás Sekanina Gate-level optimization of polymorphic circuits using Cartesian Genetic Programming. Search on Bibsonomy IEEE Congress on Evolutionary Computation The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Xin Wang, Alireza Kasnavi, Harold Levy A general piece-wise nonlinear library modeling format and size reduction technique for gate-level timing, SI, power, and variation analysis. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13B. Chung, J. B. Kuo Gate-level dual-threshold static power optimization methodology (GDSPOM) using path-based static timing analysis (STA) technique for SOC application. Search on Bibsonomy Integr. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Yukihide Kohira, Atsushi Takahashi 0001 A Fast Gate-Level Register Relocation Method for Circuit Size Reduction in General-Synchronous Framework. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Jong Kang Park, Jong Tae Kim A soft error mitigation technique for constrained gate-level designs. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Lukás Starecek, Lukás Sekanina, Zdenek Kotásek Reduction of Test Vectors Volume by Means of Gate-Level Reconfiguration. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Dusung Kim, Maciej J. Ciesielski, Kyuho Shim, Seiyang Yang Temporal parallel gate-level timing simulation. Search on Bibsonomy HLDVT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Michal Bidlo, Zdenek Vasícek Gate-Level Evolutionary Development Using Cellular Automata. Search on Bibsonomy AHS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Igor Keller, King Ho Tam, Vinod Kariat Challenges in gate level modeling for delay and SI at 65nm and below. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF delay calculation, gate characterization, gate modeling
13Jun Cheng Chi, Hung Hsie Lee, Sung Han Tsai, Mely Chen Chi Gate Level Multiple Supply Voltage Assignment Algorithm for Power Optimization Under Timing Constraint. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Yukihide Kohira, Atsushi Takahashi 0001 Gate-Level Register Relocation in Generalized Synchronous Framework for Clock Period Minimization. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Mark B. Josephs Gate-level modelling and verification of asynchronous circuits using CSPM and FDR. Search on Bibsonomy ASYNC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 1066 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license