The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for interconnection with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1961-1972 (15) 1973-1976 (19) 1977-1979 (26) 1980 (19) 1981 (32) 1982 (48) 1983 (36) 1984 (34) 1985 (48) 1986 (62) 1987 (65) 1988 (81) 1989 (98) 1990 (110) 1991 (123) 1992 (134) 1993 (144) 1994 (198) 1995 (181) 1996 (204) 1997 (207) 1998 (153) 1999 (178) 2000 (199) 2001 (145) 2002 (215) 2003 (215) 2004 (257) 2005 (334) 2006 (312) 2007 (327) 2008 (315) 2009 (242) 2010 (138) 2011 (138) 2012 (132) 2013 (121) 2014 (103) 2015 (113) 2016 (122) 2017 (124) 2018 (95) 2019 (102) 2020 (95) 2021 (81) 2022 (84) 2023 (81) 2024 (17)
Publication types (Num. hits)
article(2309) book(5) data(1) incollection(17) inproceedings(3883) phdthesis(95) proceedings(12)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6978 occurrences of 2230 keywords

Results
Found 6322 publication records. Showing 6322 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21Ernesto Jiménez, Antonio Fernández 0001, Vicent Cholvi Decoupled Interconnection of Distributed Memory Models. Search on Bibsonomy OPODIS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Savina Bansal, Padam Kumar, Kuldip Singh Duplication-Based Scheduling Algorithm for Interconnection-Constrained Distributed Memory Machines. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Théodore Marescaux, T. Andrei Bartic, Diederik Verkest, Serge Vernalde, Rudy Lauwereins Interconnection Networks Enable Fine-Grain Dynamic Multi-tasking on FPGAs. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21P. K. Nanda, Durga Prasad Muni, Priyadarshi Kanungo Parallelized Crowding Scheme Using a New Interconnection Model. Search on Bibsonomy AFSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Hyeong-Ok Lee, Jong-Seok Kim, Eunseuk Oh, Hyeong-Seok Lim Hyper-Star Graph: A New Interconnection Network Improving the Network Cost of the Hypercube. Search on Bibsonomy EurAsia-ICT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Jacek Marczynski, Daniel Tabak A Wireless Interconnection Network for Parallel Processing. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Rosa Alcover, Vicente Chirivella, José Duato Improving the Accuracy of Reliability Models for Direct Interconnection Networks. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Monica Alderighi, Fabio Casini, Sergio D'Angelo, Davide Salvi, Giacomo R. Sechi A Fault-Tolerance Strategy for an FPGA-Based Multi-stage Interconnection Network in a Multi-sensor System for Space Application. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Vicente Chirivella, Rosa Alcover, José Duato Accurate Availability Model for Direct Interconnection Networks. Search on Bibsonomy ICPP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Jianer Chen, Lihua Liu, Songqiao Chen, Weijia Jia 0001 An Intuitive and Effective New Representation for Interconnection Network Structures. Search on Bibsonomy ISAAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21David J. Milham, C. Hatch, S.-T. Johnsen, A. Hensen, R. Moons European ATM service introduction-OSS interconnection between operators. Search on Bibsonomy NOMS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Vicente Chirivella, Rosa Alcover A New Reliability Model for Interconnection Networks. Search on Bibsonomy Euro-Par The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Antonio Fernández 0001, Ernesto Jiménez, Vicent Cholvi On the interconnection of causal memory systems. Search on Bibsonomy PODC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Abderrahim Doumar, Hideo Ito Design of Switching Blocks Tolerating Defects/Faults in FPGA Interconnection Resources. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Guofeng Hou, Yulu Yang Super Recursive Baselines: A Family of New Interconnection Networks with High Performance/Cost Ratios. Search on Bibsonomy ISPAN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Massoud Pedram, Bryan Preas Interconnection analysis for standard cell layouts. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Basilis Mamalis, Paul G. Spirakis, Basil Tampakas Parallel Processing of Multiple Text Queries on Hypercube Interconnection Networks. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Charles A. Salisbury, Rami G. Melhem Pre-Allocating Control Bandwidth in an Optical Interconnection Network. Search on Bibsonomy ICPP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF parallel processing, locality, optical networking, communication protocols, time division multiplexing, network control
21Rastislav Kralovic, Branislav Rovan, Peter Ruzicka, Daniel Stefankovic Efficient Deadlock-Free Multi-dimensional Interval Routing in Interconnection Networks. Search on Bibsonomy DISC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Thomas S. Jones, Ahmed Louri Media Access Protocols For A Scalable Optical Interconnection Network. Search on Bibsonomy ICPP The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21José Flich, Pedro López 0001, Manuel P. Malumbres, José Duato Edinet: An Execution Driven Interconnection Network Simulator for DSM Systems. Search on Bibsonomy Computer Performance Evaluation (Tools) The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Yueming Hu A Simulation Research on Multiprocessor Interconnection Networks With Wormhole Routing. Search on Bibsonomy APDC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Yi-Long Chen, Jyh-Charn Liu A Hybrid Interconnection Network for Integrated Communication Services. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Aristotel Tentov Performance Analysis of ATM Switches with Multistage Multipath Packet Switching Interconnection Networks. Search on Bibsonomy LCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Sergiu Radu, Viorel Onofrei, Mihai Albulet Interconnection Problems in Modern Computers. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Seokjin Kim, Ramalingam Sridhar Self-Timed Mesochronous Interconnection for High-Speed VLSI Systems. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Jyh-Charn Liu, Kang G. Shin, Charles C. Chang Prevention of Congestion in Packet-Switched Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Hee Yong Youn, Youngsong Mun On Multistage Interconnection Networks with Small Clock Cycles. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Chun-Chao Yeh, Chun-Hsing Wu, Jie-Yong Juang Design and implementation of a multicomputer interconnection network using FPGAs. Search on Bibsonomy FCCM The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Yi-Min Jiang, Tsing-Fa Lee, TingTing Hwang, Youn-Long Lin Performance-driven interconnection optimization for microarchitecture synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Burkhard Monien, Ralf Diekmann, Reinhard Lüling Communication Throughput of Interconnection Networks. Search on Bibsonomy MFCS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Juraj Hromkovic, Ralf Klasing, Elena Stöhr Gossiping in Vertex-Disjoint Path Mode in Interconnection Networks. Search on Bibsonomy WG The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
21Lewis M. Mackenzie, Mohamed Ould-Khaoua, Rob J. Sutherland, T. Kelly A Hypergraph-Based Interconnection Network for Large Multicomputers. Search on Bibsonomy CONPAR The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
21Laxmi N. Bhuyan, Ashwini K. Nanda Multistage bus network (MBN): an interconnection network for cache coherent multiprocessors. Search on Bibsonomy SPDP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
21Rudolf Holzner, Stefan Tomann Design and Simulation of a Multistage Interconnection Network. Search on Bibsonomy CONPAR The full citation details ... 1990 DBLP  DOI  BibTeX  RDF buffering scheme, parallel computer, network simulation, crossbar switch, virtual cut-through, delta network
21Creve Maples A high-performance, memory-based interconnection system for multicomputer environments. Search on Bibsonomy SC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
21Tsair-Chin Lin, Praveen Gupta Higher Radix Hypercube as an Interconnection and Virtual Network. Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
21Steven L. Scott, Gurindar S. Sohi Using Feedback to Control Tree Saturation in Multistage Interconnection Networks. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
21Naresh M. Patel, Peter G. Harrison On Hot-Spot; Contention in Interconnection Networks. Search on Bibsonomy SIGMETRICS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
21Xiao-Nan Tan, Kenneth C. Sevcik Reduced Distance Routing in Single-Stage Shuffle-Exchange Interconnection Networks. Search on Bibsonomy SIGMETRICS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
21Deborah Estrin Inter-organization networks: implications of access control: requirements for interconnection protocol. Search on Bibsonomy SIGCOMM The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
21Jean-Alain Hernandez, Eric Horlait, René Joly, Guy Pujolle A high-throughput interconnection structure. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
21Mark A. Franklin, Donald F. Wann Asynchronous and clocked control structures for VLSI based interconnection networks. Search on Bibsonomy ISCA The full citation details ... 1982 DBLP  BibTeX  RDF
21U. V. Premkumar, Rajan N. Kapur, Miroslaw Malek, G. Jack Lipovski, P. Horne Design and implementation of the banyan interconnection network in TRAC. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
21Felix Zahn, Pedro Yébenes, Jesús Escudero-Sahuquillo, Pedro Javier García, Holger Fröning Effects of Congestion Management on Energy Saving Techniques in Interconnection Networks. Search on Bibsonomy HiPINEB@HPCA The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Felix Zahn, Steffen Lammel, Holger Fröning Early Experiences with Saving Energy in Direct Interconnection Networks. Search on Bibsonomy HiPINEB@HPCA The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Felix Zahn, Pedro Yébenes, Steffen Lammel, Pedro Javier García, Holger Fröning Analyzing the Energy (Dis-) Proportionality of Scalable Interconnection Networks. Search on Bibsonomy HiPINEB@HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21German Maglione Mathey, Pedro Yébenes, Jesús Escudero-Sahuquillo, Pedro Javier García, Francisco J. Quiles 0001 Combining OpenFabrics Software and Simulation Tools for Modeling InfiniBand-Based Interconnection Networks. Search on Bibsonomy HiPINEB@HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21S. Rajkumar 0002, Neeraj Kumar Goyal Design of 4-disjoint gamma interconnection network layouts and reliability analysis of gamma interconnection Networks. Search on Bibsonomy J. Supercomput. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
21Peter Kersch, Róbert Szabó, Zoltán Lajos Kis, Márk Erdei, Balázs Kovács Self organizing ambient control space: an ambient network architecture for dynamic network interconnection. Search on Bibsonomy DIN@MobiCom The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21M. S. Lin, Ling Chen, J. Y. Lee, H. T. Liu, C. K. Chou, K. H. Wan, H. M. Chen, Kevin Chou, Roger Hsiao, Eric Lin A new IC interconnection scheme and design architecture for high performance ICs at very low fabrication cost - post passivation interconnection. Search on Bibsonomy CICC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Keny T. Lucas Parallel algorithm for sorting on OTIS-ring multicomputer. Search on Bibsonomy Bangalore Compute Conf. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF OTIS, parallel algorithm, interconnection network, sorting, time complexity
20François Abel, Cyriel Minkenberg, Ilias Iliadis, Antonius P. J. Engbersen, Mitchell Gusat, Ferdinand Gramsamer, Ronald P. Luijten Design issues in next-generation merchant switch fabrics. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF combined Input and crosspoint Queueing (CICQ), interconnection networks, packet switching, buffered crossbar
20Valentin Puente, José-Ángel Gregorio Immucube: Scalable Fault-Tolerant Routing for k-ary n-cube Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF k{hbox{-}}aryn{hbox{-}}cubes, Interconnection networks, fault-tolerant routing
20Hsiu-Chin Chen, Chung-Ta King SONMAS: A Structured Overlay Network for Multidimensional Attribute Space. Search on Bibsonomy GPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF information lookup, multidimensional attribute space, Distributed system, interconnection network, peer-to-peer overlay network
20Abdel Elah Al-Ayyoub, Ahmad Awwad, Khaled Day, Mohamed Ould-Khaoua Generalized methods for algorithm development on optical systems. Search on Bibsonomy J. Supercomput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Optoelectronic systems, OTIS, Parallel algorithms, Interconnection networks
20Chiara Francalanci, Paolo Giacomazzi High-Performance Self-Routing Algorithm for Multiprocessor Systems with Shuffle Interconnections. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF shuffle interconnection, Multiprocessor systems, self routing
20Iñigo Artundo, Daniel Manjarres, Wim Heirman, Christof Debaes, Joni Dambre, Jan M. Van Campenhout, Hugo Thienpont Reconfigurable Interconnects in DSM Systems: A Focus on Context Switch Behavior. Search on Bibsonomy ISPA Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnection network, multiprocessors, Reconfiguration, distributed shared memory, context switch
20Mingxin He, Wenjun Xiao A Unified Addressing Schema for Hexagonal and Honeycomb Networks with Isomorphic Cayley Graphs. Search on Bibsonomy IMSCCS (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Addressing Schema, Hexagonal Networks, Honeycomb Networks, Routing, Cellular Networks, Cayley Graphs, Interconnection Architectures
20Fang-Ai Liu, Ya-Wen Chen Wavelength Assignment of Parallel FFT Communication Pattern on a Class of Regular Optical WDM Networks. Search on Bibsonomy ISPAN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF wavelength division multiplexing, wavelength assignment, network embedding, parallel FFT, optical interconnection networks
20Savina Bansal, Padam Kumar, Kuldip Singh An Improved Duplication Strategy for Scheduling Precedence Constrained Graphs in Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Algorithm, distributed computing, interconnection network, multiprocessor scheduling
20Vara Varavithya, Prasant Mohapatra Asynchronous Tree-Based Multicasting in Wormhole-Switched MINs. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Asynchronous tree-based multicasting, deadlock configurations, multicast routing algorithm, multistage interconnection networks, wormhole switching
20Chi-Hsiang Yeh, Emmanouel A. Varvarigos Macro-Star Networks: Efficient Low-Degree Alternatives to Star Graphs. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF algorithm emulation, multinode broadcast, routing, Interconnection networks, parallel architectures, Cayley graphs, star graphs, total exchange
20Constantine Katsinis Performance Analysis and Simulation of the SOME-Bus Architecture Using Message Passing. Search on Bibsonomy ICCCN The full citation details ... 1998 DBLP  DOI  BibTeX  RDF simulation, performance analysis, Interconnection networks
20Laxmi N. Bhuyan, Ravi R. Iyer 0001, Tahsin Askar, Ashwini K. Nanda, Mohan Kumar Performance of Multistage Bus Networks for a Distributed Shared Memory Multiprocessor. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF routing, performance analysis, Interconnection network, packet-switching, queuing model, execution-driven simulation
20Hong Xu 0005, Yadong Gui, Lionel M. Ni Optimal Software Multicast in Wormhole-Routed Multistage Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF bidirectional multistage interconnection network, multistage cube network, turnaround routing, Wormhole routing, multicast communication
20José Duato A Theory of Fault-Tolerant Routing in Wormhole Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF channel redundancy, network redundancy, interconnection networks, Adaptive routing, fault-tolerant routing, wormhole switching
20Fabrizio Petrini, Marco Vanneschi Efficient Personalized Communication on Wormhole Networks. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF parallel architectures and computation models, k-ary n-trees, performance evaluation, interconnection networks, k-ary n-cubes, BSP, h-relations
20Jian Chen, Valerie E. Taylor PART: a partitioning tool for efficient use of distributed systems. Search on Bibsonomy ASAP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF partitioning tool, geographically distributed supercomputers interconnection, decomposition tool, finite element based problems, performance, distributed systems, computational complexity, computational complexity, simulated annealing, wide area network, high-speed networks, execution time, processor performance, PART
20Sabine R. Öhring, Sajal K. Das 0001 Folded Petersen Cube Networks: New Competitors for the Hypercubes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF folded Petersen graph, fault-tolerance, routing, interconnection network, broadcasting, hypercube, embedding, tree, mesh, pyramid, Average distance
20Hossam A. ElGindy, Arun K. Somani, Heiko Schröder 0001, Hartmut Schmeck, Andrew Spray RMB - A Reconfigurable Multiple Bus Network. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Reconfigurable Multiple Bus Network, Multiprocessor systems, Permutation Routing, Interconnection Structure
20Xiaodong Zhang 0001, Yong Yan 0003, Robert Castañeda Comparative Performance Evaluation of Hot Spot Contention Between MIN-Based and Ring-Based Shared-Memory Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Hierarchical Rings (HR), performance modeling and measurements, the BBN TC2000, the KSR1, shared-memory, Multistage Interconnection Network (MIN), hot spot, slotted rings
20Michele Flammini, Giorgio Gambosi, Umberto Nanni, Richard B. Tan Multi-Dimensional Interval Routing Schemes. Search on Bibsonomy WDAG The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Compact Routing Methods, Interval Routing Schemes, Interconnection Networks, Shortest Paths, Dimensions
20Claudio Truzzi, Eric Beyne, Edwin Ringoot, J. Peeters Signal propagation in high-speed MCM circuits. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF thin film circuits, signal propagation, high-speed MCM circuits, thin-film multichip module substrate, timing analyses, lossy interconnection lines, timing, circuit analysis computing, circuit simulations, CMOS integrated circuits, CMOS integrated circuits, multichip modules, receivers, drivers, microsystems, substrates
20Sajal K. Das 0001, Aisheng Mao Embeddings in Recursive Combinatorial Networks. Search on Bibsonomy WG The full citation details ... 1992 DBLP  DOI  BibTeX  RDF combinatorial networks, full binary trees, congestion-free routing, Interconnection networks, hypercube, embedding, mesh, bipartite graphs
20Chandrabhan Chevli, Hee Yong Youn An Efficient VLSI Network Bridge Architecture for Local Area Networks. Search on Bibsonomy ICCI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Network Bridge, Fault Tolerance, Communication, VLSI, Interconnection, LAN
19Fatemeh Eslami, Mihai Sima Capacitive Boosting for FPGA Interconnection Networks. Search on Bibsonomy FPL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF capacitive boosting, nMOS pass transistor multiplexers, FPGA interconnection network
19Jesús Escudero-Sahuquillo, Ernst Gunnar Gran, Pedro Javier García, José Flich, Tor Skeie, Olav Lysne, Francisco J. Quiles 0001, José Duato Combining Congested-Flow Isolation and Injection Throttling in HPC Interconnection Networks. Search on Bibsonomy ICPP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF HoL-blocking, Interconnection Networks, Congestion Management
19Carlos Nunez Castillo, Diego Lugones, Daniel Franco 0002, Emilio Luque Predictive and Distributed Routing Balancing for High Speed Interconnection Networks. Search on Bibsonomy CLUSTER The full citation details ... 2011 DBLP  DOI  BibTeX  RDF predictive routing, application aware routing, Interconnection networks, parallel applications
19Chih-Lu Lin, Hung-Yu Kao Blog Popularity Mining Using Social Interconnection Analysis. Search on Bibsonomy IEEE Internet Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF social blog network, popularity mining, social interconnection, social networking, link analysis, blogosphere
19Karen Rose Africa Shifts Focus from Infrastructure to Interconnection. Search on Bibsonomy IEEE Internet Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Internet exchange point, IXP, Internet, interconnection, infrastructure
19Ricardo Fernández Pascual, José M. García 0001, Manuel E. Acacio, José Duato Dealing with Transient Faults in the Interconnection Network of CMPs at the Cache Coherence Level. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fault tolerance, interconnection network, cache coherence, transient faults
19José M. Cámara, Miquel Moretó, Enrique Vallejo 0001, Ramón Beivide, José Miguel-Alonso, Carmen Martínez, Javier Navaridas Twisted Torus Topologies for Enhanced Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF routing, parallel architectures, supercomputers, Multiprocessor interconnection
19Mary Flahive, Bella Bose The Topology of Gaussian and Eisenstein-Jacobi Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Gaussian integers, Eisenstein-Jacobi integers, routing in networks, diameter of a network, Interconnection network
19Gonzalo Zarza, Diego Lugones, Daniel Franco 0002, Emilio Luque Deadlock Avoidance for Interconnection Networks with Multiple Dynamic Faults. Search on Bibsonomy PDP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF interconnection networks, adaptive routing, deadlock avoidance
19Gonzalo Zarza, Diego Lugones, Daniel Franco 0002, Emilio Luque FT-DRB: A Method for Tolerating Dynamic Faults in High-Speed Interconnection Networks. Search on Bibsonomy PDP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fault tolerance, interconnection networks, multipath routing
19Yamin Li, Shietung Peng, Wanming Chu Collective Communication in Recursive Dual-Net: An Interconnection Network for High-Performance Parallel Computer Systems of the Next Generation. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Interconnection networks, routing algorithms, collective communication
19Xiang Zhang, Ahmed Louri A multilayer nanophotonic interconnection network for on-chip many-core communications. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF interconnection networks, CMP, 3D, silicon photonics
19Fadi N. Sibai Low Diameter Unicast On-Chip Interconnection Networks for Many-Core Embedded Systems. Search on Bibsonomy CISIS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF many core embedded systems, On-chip interconnection networks, network diameter
19Fadi N. Sibai Which On-Chip Interconnection Network for 16-core MPSoCs?. Search on Bibsonomy CISIS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF split NoCs, MPSoCs, On-chip interconnection networks, network diameter
19Javier Navaridas, José Miguel-Alonso Realistic Evaluation of Interconnection Networks Using Synthetic Traffic. Search on Bibsonomy ISPDC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Simulation, Performance Evaluation, Interconnection Networks, Traffic Characterization
19B. N. Bhramar Ray, Alok Ranjan Tripathy, Shakti Prasad Mohanty A New Interconnection Network for Parallel Computers. Search on Bibsonomy NCM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Varietal hypercube, Fault Tolerance, Reliability, Interconnection network
19Nibedita Adhikari, Chitta Ranjan Tripathy Extended Crossed Cube: An Improved Fault Tolerant Interconnection Network. Search on Bibsonomy NCM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Message density, Fault tolerance, Reliability, Cost effectiveness, Interconnection topology
19Javier Navaridas, Mikel Luján, José Miguel-Alonso, Luis A. Plana, Steve B. Furber Understanding the interconnection network of SpiNNaker. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF biologically inspired architecture, performance evaluation, fault tolerance, interconnection networks, systems on chip, real-time applications, spiking neurons, massively parallel architecture, analytical evaluation
19Michele Petracca, Benjamin G. Lee, Keren Bergman, Luca P. Carloni Design Exploration of Optical Interconnection Networks for Chip Multiprocessors. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Networks-on-Chip, Interconnection, Chip Multiprocessors, Optics
19Christophe Bobda, Ali Ahmadinia Dynamic Interconnection of Reconfigurable Modules on Reconfigurable Devices. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Dynamic Interconnection, Network on Chip, Reconfigurable Hardware
19Mohammad Reza Hoseiny Farahabady, Hamid Sarbazi-Azad The recursive transpose-connected cycles (RTCC) interconnection network for multiprocessors. Search on Bibsonomy SAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hamiltonian properties, implementation constraints, performance evaluation, interconnection network, multicomputer
19Geyong Min, Mohamed Ould-Khaoua A Performance Model for Wormhole-Switched Interconnection Networks under Self-Similar Traffic. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF traffic self-similarity, interconnection networks, performance modeling, adaptive routing, Multicomputers, virtual channels
19Li Shang, Li-Shiuan Peh, Niraj K. Jha Power-efficient Interconnection Networks: Dynamic Voltage Scaling with Links. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interconnection network, Dynamic voltage scaling, power optimization
19Byoung-Soon Jang, Sung Woo Chung, Seong Tae Jhang, Chu Shik Jhon Efficient schemes to scale the interconnection network bandwidth in a ring-based multiprocessor system. Search on Bibsonomy SAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF ring bandwidth, ring-based CCNUMA, interconnection networks, parallel architecture
Displaying result #401 - #500 of 6322 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license