The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for loop with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1955-1964 (16) 1965-1969 (20) 1970-1972 (23) 1973 (16) 1974 (25) 1975 (17) 1976 (20) 1977 (22) 1978 (26) 1979 (28) 1980 (26) 1981 (20) 1982 (32) 1983 (18) 1984 (34) 1985 (29) 1986 (31) 1987 (42) 1988 (75) 1989 (61) 1990 (82) 1991 (98) 1992 (106) 1993 (117) 1994 (189) 1995 (200) 1996 (176) 1997 (221) 1998 (225) 1999 (313) 2000 (388) 2001 (438) 2002 (492) 2003 (548) 2004 (757) 2005 (859) 2006 (1005) 2007 (1084) 2008 (1187) 2009 (905) 2010 (558) 2011 (557) 2012 (604) 2013 (651) 2014 (698) 2015 (680) 2016 (747) 2017 (824) 2018 (957) 2019 (1069) 2020 (1114) 2021 (1101) 2022 (1274) 2023 (1341) 2024 (320)
Publication types (Num. hits)
article(9253) book(13) data(8) incollection(118) inproceedings(12883) phdthesis(175) proceedings(16)
Venues (Conferences, Journals, ...)
CoRR(1255) ISCAS(394) CDC(357) ICRA(354) ACC(330) IEEE Access(308) IROS(286) IEEE Trans. Ind. Electron.(241) IEEE J. Solid State Circuits(231) IEEE Trans. Commun.(221) IECON(193) Autom.(183) Sensors(176) IEEE Trans. Circuits Syst. II ...(137) ECC(114) EMBC(113) More (+10 of total 3445)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6626 occurrences of 3075 keywords

Results
Found 22479 publication records. Showing 22466 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22Marianne De Michiel, Armelle Bonenfant, Hugues Cassé, Pascal Sainrat Static Loop Bound Analysis of C Programs Based on Flow Analysis and Abstract Interpretation. Search on Bibsonomy RTCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Russell Meyers, Zhiyuan Li 0001 ASYNC Loop Constructs for Relaxed Synchronization. Search on Bibsonomy LCPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Nima Maghari, Un-Ku Moon Multi-loop efficient sturdy MASH delta-sigma modulators. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Tara Julia Hamilton, Craig T. Jin, André van Schaik, Jonathan Tapson A 2-D silicon cochlea with an improved automatic quality factor control-loop. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Xiaolong Yuan, Nianxiong Tan, Svante Signell On low power design of feedforward continuous-time sigma delta modulators with excess loop delay. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Agostino Martinelli, Roland Siegwart Exploiting the Information at the Loop Closure in SLAM. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Srikanth Kurra, Neeraj Kumar Singh 0004, Preeti Ranjan Panda The impact of loop unrolling on controller delay in high level synthesis. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Sofiane Naci Optimizing Inter-Nest Data Locality Using Loop Splitting and Reordering. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Yong Dou, Jinhui Xu 0002, Guiming Wu The Implementation of a Coarse-Grained Reconfigurable Architecture with Loop Self-pipelining. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Ali Mili 0001 A Refinement Based Framework for Computing Loop Behavior. Search on Bibsonomy SEW The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Hovhannes A. Harutyunyan, Edward Maraachlian Broadcasting in Optimal Bipartite Double Loop Graphs. Search on Bibsonomy IV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Betul Buyukkurt, Zhi Guo, Walid A. Najjar Impact of Loop Unrolling on Area, Throughput and Clock Frequency in ROCCC: C to VHDL Compiler for FPGAs. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Jinhui Xu 0002, Guiming Wu, Yong Dou, Yazhuo Dong Designing a Coarse-Grained Reconfigurable Architecture Using Loop Self-Pipelining. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Yong Shim, Youngkwon Jo, Soo Hwan Kim, Suki Kim, Kwanjun Cho A register controlled delay locked loop using a TDC and a new fine delay line scheme. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Lei Shen, Fangni Chen, Shiju Li Performance of Coherent Delay Lock Loop in the Presence of CW Interference and Additive Noise. Search on Bibsonomy IMSCCS (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Yuhua Cheng, Xiaobo Wu, Xiaolang Yan Translinear Loop Principle and Identification of the Translinear Loops. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Hari Rangarajan, J. J. Garcia-Luna-Aceves Efficient Use of Route Requests for Loop-Free On-demand Routing in Ad Hoc Networks. Search on Bibsonomy NETWORKING The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Gary B. Parker, Ramona Georgescu Evolution of multi-loop controllers for fixed morphology with a cyclic genetic algorithm. Search on Bibsonomy GECCO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF learning, control, code generation, evolutionary robotics
22Alban Douillet, Guang R. Gao Register Pressure in Software-Pipelined Loop Nests: Fast Computation and Impact on Architecture Design. Search on Bibsonomy LCPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22You Zheng, Carlos E. Saavedra A BPSK demodulator circuit using an anti-parallel synchronization loop. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Jidong Long, Daniel G. Schwartz, Sara Stoecklin, Mahesh K. Patel Application of Loop Reduction to Learning Program Behaviors for Anomaly Detection. Search on Bibsonomy ITCC (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Sungho Jo, Steve G. Massaquoi A model of cerebellum stabilized and scheduled hybrid long-loop control of upright balance. Search on Bibsonomy Biol. Cybern. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Luca Faes, Alberto Porta, R. Cucino, Sergio Cerutti, Renzo Antolini, Giandomenico Nollo Causal transfer function analysis to describe closed loop interactions between cardiovascular and cardiorespiratory variability signals. Search on Bibsonomy Biol. Cybern. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Linear transfer function, Cardiovascular control, Coherence
22Wei Zhang 0002, Bramha Allu Loop-based leakage control for branch predictors. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF compiler, branch prediction, leakage energy
22Hassan Aboushady, Marie-Minerve Louërat Loop delay compensation in bandpass continuous-time Sigma Delta modulators without additional feedback coefficients. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Kuo-Hsing Cheng, Wei-Bin Yang, Shu-Chang Kuo A dual-slope phase frequency detector and charge pump architecture to achieve fast locking of phased-locked loop. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Shigeo Doi, Masayuki Yamamura An Experimental Analysis of Loop-Free Algorithms for Scale-Free Networks. Search on Bibsonomy ANTS Workshop The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22José Ignacio Gómez, Paul Marchal, Sven Verdoolaege, Luis Piñuel, Francky Catthoor Optimizing the Memory Bandwidth with Loop Morphing. Search on Bibsonomy ASAP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Gang-Ryung Uh Tailoring Software Pipelining for Effective Exploitation of Zero Overhead Loop Buffer. Search on Bibsonomy SCOPES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Vasu Jolly, Shahram Latifi On Loop Control In Multiprotocol Label Switching. Search on Bibsonomy ITCC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Label space, Label switched path and LSR, IP, MPLS
22Taewoong Jeon, Sunghwan Roh, Hyonwoo Seung, Sungyoung Lee The Design and Implementation of an Object-Oriented Process Control Loop Framework. Search on Bibsonomy AISA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Arun Rao, William McIntyre, J. Parry, Un-Ku Moon, Gabor C. Temes Buck-boost switched-capacitor DC-DC voltage regulator using delta-sigma control loop. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Frank Hannig, Jürgen Teich Energy estimation of nested loop programs. Search on Bibsonomy SPAA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF hardware mapping, low power, processor arrays
22Christo Frank, B. S. Manoj 0001, C. Siva Ram Murthy Throughput Enhanced Wireless in Local Loop (TWiLL) - The Architecture, Protocols and Pricing Schemes. Search on Bibsonomy LCN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Ismail Kadayif, Mahmut T. Kandemir, Mustafa Karaköy An energy saving strategy based on adaptive loop parallelization. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF energy consumption, multiprocessing, adaptive parallelization
22Hosam Khalil, Kenneth Rose, Shankar L. Regunathan The asymptotic closed-loop approach to predictive vector quantizer design with application in video coding. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Daniel Cociorva, J. W. Wilkins, Chi-Chung Lam, Gerald Baumgartner, J. Ramanujam, P. Sadayappan Loop optimization for a class of memory-constrained computations. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Ching-Chi Chang, Chien-Chih Lin, Muh-Tian Shiue, Chorng-Kuang Wang A wide pull-in range fast acquisition hardware-sharing two-fold carrier recovery loop. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Alan L. Yuille A Double-Loop Algorithm to Minimize the Bethe Free Energy. Search on Bibsonomy EMMCVPR The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Kiran Bondalapati, Viktor K. Prasanna Loop Pipelining and Optimization for Run Time Reconfiguration. Search on Bibsonomy IPDPS Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Michael F. P. O'Boyle, Peter M. W. Knijnenburg Efficient Parallelization Using Combined Loop and Data Transformations. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Kainam Thomas Wong A novel closed-form azimuth/elevation angle and polarization estimation technique using only electric dipole triads or only magnetic loop triads with arbitrary unknown spacings. Search on Bibsonomy ISCAS (3) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Sven-Bodo Scholz A Case Study: Effects of WITH-Loop-Folding on the NAS Benchmark MG in SAC. Search on Bibsonomy IFL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22J. Mark Bull Feedback Guided Dynamic Loop Scheduling: Algorithms and Experiments. Search on Bibsonomy Euro-Par The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Maurice Herlihy, Sergio Rajsbaum A Wait-Free Classification of Loop Agreement Tasks. Search on Bibsonomy DISC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Mahmut T. Kandemir, J. Ramanujam, Alok N. Choudhary, Prithviraj Banerjee A Loop Transformation Algorithm Based on Explicit Data Layout Representation for Optimizing Locality. Search on Bibsonomy LCPC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Zbigniew Chamski, Michael F. P. O'Boyle Practical Loop Generation. Search on Bibsonomy HICSS (1) The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
22Madalene Spezialetti, Rajiv Gupta 0001 Loop Monotonic Statements. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Access anomalies (data races), run-time dependence checking, static analysis, array bound checking, induction variables
22Tsing-Fa Lee, Allen C.-H. Wu, Youn-Long Lin, Daniel D. Gajski A transformation-based method for loop folding. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22Qi Ning, Guang R. Gao Minimizing Loop Storage Allocation for An Argument-Fetching Dataflow Architecture Model. Search on Bibsonomy PARLE The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
22Guang R. Gao, Yue-Bong Wong, Qi Ning A timed Petri-net model for fine-grain loop scheduling. Search on Bibsonomy CASCON The full citation details ... 1991 DBLP  BibTeX  RDF
22François Bodin, François Charot Loop optimization for horizontal microcoded machines. Search on Bibsonomy ICS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
22Diantong Liu, Wolfgang K. Giloi A loop optimization technique based on scheduling table. Search on Bibsonomy MICRO The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
22James C. Dehnert, Peter Y.-T. Hsu, Joseph P. Bratt Overlapped Loop Support in the Cydra 5. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF Cydra 5
22Tao Liu, Haibo Lin, Tong Chen 0001, Kevin O'Brien, Ling Shao 0002 DBDB: optimizing DMATransfer for the cell be architecture. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF loop blocking, local memory, multi-core system
22Long-Sheng Li, Gwo-Chuan Lee, Jhu-Shyuan Mei, Cing-Long Chen The Hierarchical Path-Selection Scheme Based on Multihoming NEMO. Search on Bibsonomy ICA3PP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Loop prevention, Load balance, Network Mobility, Multihoming
22Keith D. Cooper, Jason Eckhardt, Ken Kennedy Redundancy elimination revisited. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF expression optimization, reassociation, scalar replacement, loop optimization, redundancy elimination
22Kevin Fan, Hyunchul Park 0001, Manjunath Kudlur, Scott A. Mahlke Modulo scheduling for highly customized datapaths to increase hardware reusability. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF programmable asic, modulo scheduling, loop accelerator
22Louis-Noël Pouchet, Cédric Bastoul, Albert Cohen 0001, John Cavazos Iterative optimization in the polyhedral model: part ii, multidimensional time. Search on Bibsonomy PLDI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF genetic algorithm, loop transformation, iterative compilation, affine scheduling
22Frederico Pratas, Georgi Gaydadjiev, Mladen Berekovic, Leonel Sousa, Stefanos Kaxiras Low power microarchitecture with instruction reuse. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF loop reusing technique, reorder buffer optimization, superscalar processor, power reduction
22Ward Douglas Maurer Using mathematics to improve ada compiled code, part 2: the proof. Search on Bibsonomy SIGAda The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ada compilers, base registers, code improvement, display method, loop trees, nested procedures
22Johnnie Birch, Robert A. van Engelen, Kyle A. Gallivan, Yixin Shou An empirical evaluation of chains of recurrences for array dependence testing. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF chains of recurrences, dependence testing, loop optimization
22Jun Xia, Li Luo, Xuejun Yang A 0-1 Integer Linear Programming Based Approach for Global Locality Optimizations. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF compiler optimizations, integer linear programming, loop transformations, data transformations, Cache locality, memory layouts
22Bruno Harbulot, John R. Gurd A join point for loops in AspectJ. Search on Bibsonomy AOSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF loop join point, AspectJ
22Khaled F. Hussain, Ghada S. Moussa Laser intensity vehicle classification system based on random neural network. Search on Bibsonomy ACM Southeast Regional Conference (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF loop detectors, image processing, range sensor, vehicle classification
22Dongkeun Kim, Donald Yeung A study of source-level compiler algorithms for automatic construction of pre-execution code. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF pre-execution, prefetch conversion, speculative loop parallelization, multithreading, program slicing, Data prefetching, memory-level parallelism
22Weng-Long Chang, Jih-Woei Huang, Chih-Ping Chu Using Elementary Linear Algebra to Solve Data Alignment for Arrays with Linear or Quadratic References. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF communication-free alignment, load balancing, parallel computing, Parallel compiler, loop optimization, data dependence analysis
22Zhiyuan Li 0001, Yonghong Song Automatic tiling of iterative stencil loops. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Caches, optimizing compilers, loop transformations
22Mahmut T. Kandemir LODS: locality-oriented dynamic scheduling for on-chip multiprocessors. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF embedded system, parallelization, data locality, loop scheduling
22Jude A. Rivers, Sameh W. Asaad, John-David Wellman, Jaime H. Moreno Reducing instruction fetch energy with backwards branch control information and buffering. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF loop buffer, low-power, instruction fetch
22Volodymyr Beletskyy, R. Drazkowski, Marcin Liersz An Approach to Parallelizing Non-Uniform Loops with the Omega Calculator. Search on Bibsonomy PARELEC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF non-uniform dependences, Presburger formulas, loop parallelization
22Smita Bakshi, Daniel Gajski Performance-constrained hierarchical pipelining for behaviors, loops, and operations. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF DSP (digital signal processing) systems, hierarchical pipelining, pipelined systems, scheduling, component selection, loop pipelining
22Dean Batten, Sanjay Jinturkar, C. John Glossner, Michael J. Schulte, Paul D'Arcy A New Approach to DSP Intrinsic Functions. Search on Bibsonomy HICSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Speech coders, intrinsic functions, profile-directed function inlining, performance analysis, instruction-level parallelism, software pipelining, speedup, modulo scheduling, loop optimization, code growth
22Yijun Yu, Erik H. D'Hollander Partitioning Loops with Variable Dependence Distances. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF dependence equation, pseudo distance matrix, uni-modular transformation, iteration space partitioning 1, loop parallelization, distance vector
22Val Donaldson, Jeanne Ferrante Determining Asynchronous Acyclic Pipeline Execution Times. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF asynchronous pipelining, parallel execution time, loop parallelism, task graph scheduling, pipeline scheduling
22Lizy Kurian John, Vinod Reddy, Paul T. Hulina, Lee D. Coraor A comparative evaluation of software techniques to hide memory latency. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF comparative software evaluation, software oriented techniques, superscalar machines, superpipelined machines, software cache prefetching, data fetch request, software controlled prefetching, aggressive prefetching, memory bandwidth requirements, bus traffic, performance, parallel machines, program compilers, processor scheduling, software performance evaluation, software pipelining, pipeline processing, microarchitecture, cache storage, instruction set architecture, memory latency, loop unrolling, static scheduling, conditional branches
22Zbigniew Chamski Beyond convexity: scanning 'non-convex polyhedra'. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF nonconvex polyhedra, point enumeration, algebraically-specified domain, algorithmic problem, scientific program transformation, scanning algorithms, runtime overhead, regularly nonconvex domains, arbitrary unions, nested loop sequences, parallel algorithms, parallel programming, computational geometry, convexity, program interpreters, program control structures, convex polyhedra
22Ashutosh Mujumdar, Rajiv Jain, Kewal K. Saluja Incorporating testability considerations in high-level synthesis. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Automatic synthesis of testable designs, loop breaking, high-level synthesis, binding, synthesis for testability
21Vincent Lagareste, Franck Badets, Pierre Melchior, Jean-Baptiste Bégueret, Yann Deval, Alain Oustaloup, Didier Belot Phase locked loop robustness improvement using non integer order loop filter. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Didier Keymeulen, Michael I. Ferguson, Wolfgang Fink, Boris Oks, Chris Peay, Richard Terrile, Yen Cheng, Dennis Kim, Eric W. MacDonald, David Foor Hardware Platforms for MEMS Gyroscope Tuning Based on Evolutionary Computation Using Open-Loop and Closed-Loop Frequency Response. Search on Bibsonomy ICES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Huabin Chen, Fenglin Lv 0001, Tao Lin 0011, Shanben Chen Closed-Loop Control of Robotic Arc Welding System with Full-penetration Monitoring. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Feed forward compensation, Robotic arc welding, Penetration control, Closed-loop
21Dongwon Jung, Jayant Ratti, Panagiotis Tsiotras Real-time Implementation and Validation of a New Hierarchical Path Planning Scheme of UAVs via Hardware-in-the-Loop Simulation. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Path planning and control, Hardware-in-the-loop simulation (HILS), UAV
21Meikang Qiu, Meiqin Liu, Hao Li, Hung-Chung Huang, Wenyuan Li 0006, Jiande Wu Energy-Aware Loop Scheduling and Assignment for Multi-Core, Multi-Functional-Unit Architecture. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Optimization, Real-time, Multi-core, Assignment, Loop scheduling
21José Luís Calvo-Rolle, Héctor Alaiz-Moretón, Javier Alfonso-Cendón, Ángel Alonso-Álvarez, Ramón Ferreiro García Development of a Conceptual Model for a Knowledge-Based System for the Design of Closed-Loop PID Controllers. Search on Bibsonomy IDEAL The full citation details ... 2009 DBLP  DOI  BibTeX  RDF closed-loop adjustment, expert system, Knowledge engineering, ruled-based system, PID
21Tameesh Suri, Aneesh Aggarwal Improving performance of simple cores by exploiting loop-level parallelism through value prediction and reconfiguration. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF data value prediction, dynamic reconfiguration, loop level parallelism
21Marcelo Panaro de Moraes Zamith, Esteban Walter Gonzalez Clua, Aura Conci, Anselmo Antunes Montenegro, Regina Leal-Toledo, Paulo A. Pagliosa, Luis Valente, Bruno Feijó A game loop architecture for the GPU used as a math coprocessor in real-time applications. Search on Bibsonomy Comput. Entertain. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Game loop, real-time physics, GPGPU
21Calin Glitia, Pierre Boulet High Level Loop Transformations for Systematic Signal Processing Embedded Applications. Search on Bibsonomy SAMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multidimensional Dataflow, optimizations, loop transformations
21Diego R. Llanos Ferraris, David Orden, Belén Palop Just-In-Time Scheduling for Loop-based Speculative Parallelization. Search on Bibsonomy PDP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF loop-based speculation, scheduling, speculative multithreading, Speculative parallelization
21Kristina Höök Affective Loop Experiences - What Are They? Search on Bibsonomy PERSUASIVE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF affective loop, bodily interfaces, persuasion, affective interaction
21Girish Venkataramani, Seth Copen Goldstein Slack analysis in the system design loop. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF slack analysis, system design loop, timing update
21Jingjin Shen, Chenggang Li, Hongtao Wu A Research on Dynamics of a Hexapod with Closed-Loop Legs. Search on Bibsonomy ICIRA (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hexapod, AB algorithm, Newton-Euler MBDA, dynamic analysis, closed-loop
21Rui Pereira Loop-R: real-time video interface. Search on Bibsonomy Advances in Computer Entertainment Technology The full citation details ... 2008 DBLP  DOI  BibTeX  RDF NIME, live-visuals, real-time, interface, video, loop
21Jisheng Zhao, Matthew Horsnell, Mikel Luján, Ian Rogers, Chris C. Kirkham, Ian Watson Adaptive Loop Tiling for a Multi-cluster CMP. Search on Bibsonomy ICA3PP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multi-Cluster CMP, Automatic Parallelization, Loop Tiling, Feedback-Directed Optimization
21Jiri Haze, Radimir Vrba, Roman Prokop Design of Phase Locked-Loop for Very Slow Sine-Wave Signals. Search on Bibsonomy ICONS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sine wave signal, phase locked-loop
21Meikang Qiu, Jiande Wu Energy saving for memory with loop scheduling and prefetching. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-module memory, probability, partition, low power design, prefetching, loop scheduling
21Carine Neus, Wim Foubert, Leo Van Biesen Loop Identification and Capacity Estimation of Digital Subscriber Lines with Single Ended Line Testing. Search on Bibsonomy AccessNets The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Digital Subscriber Line (DSL), Single Ended Line Testing (SELT), transfer function estimation, loop qualification, channel capacity
21Cristiano Lazzari, Ricardo A. L. Reis, Lorena Anghel A Case Study on Phase-Locked Loop Automatic Layout Generation and Transient Fault Injection Analysis. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Automatic layout generation, Transient fault injection, Phase-locked loop
21J. Manuel Cano Izquierdo, Julio Ibarrola, Miguel Almonacid Performance Monitoring of Closed-Loop Controlled Systems Using dFasArt. Search on Bibsonomy IWINAC (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dFasArt, closed-loop systems, temporal analysis, neuro-fuzzy
21Chenfeng Zhang, Vamsi K. Vijapurapu, Anurag K. Srivastava 0001, Noel N. Schulz, Jimena L. Bastos, Rudi Wierckx Hardware-in-the-loop simulation of distance relay using RTDS. Search on Bibsonomy SCSC The full citation details ... 2007 DBLP  BibTeX  RDF SEL distance relay, power system protection, real time simulation, hardware-in-the-loop, RTDS
21Fabian M. Uriarte, Karen L. Butler-Purry A partitioning approach for the parallel simulation of ungrounded shipboard power systems using Kron's diakoptics and loop analysis. Search on Bibsonomy SCSC The full citation details ... 2007 DBLP  BibTeX  RDF Kron, diakoptics, shipboard, ungrounded, simulation, cluster, parallel, partitioning, power, systems, PC, loop, transient
Displaying result #401 - #500 of 22466 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license