|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 433 occurrences of 270 keywords
|
|
|
Results
Found 2671 publication records. Showing 2671 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
15 | Sudam Maduranga Wasala, Sobhan Niknam, Anuj Pathania, Clemens Grelck, Andy D. Pimentel |
Lifetime Estimation for Core-Failure Resilient Multi-Core Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 16th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023, pp. 293-300, 2023, IEEE, 979-8-3503-9361-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | Walter Gallego Gomez, Andrea Pignata, Riccardo Pignari, Vittorio Fra, Enrico Macii, Gianvito Urgese |
First steps towards micro-benchmarking the Lava-Loihi neuromorphic ecosystem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 16th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023, pp. 462-469, 2023, IEEE, 979-8-3503-9361-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | Yoshiyuki Saito, Ningyi Xie, Jungpil Shin 0001, Xinwei Lee, Dongsheng Cai, Nobuyoshi Asai |
Iterative Refinement Quantum Amplitude Estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 16th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023, pp. 202-209, 2023, IEEE, 979-8-3503-9361-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | Chaoran Zhang, Huakun Huang, Lingjun Zhao, Chenkai Xu, Rui Zhao |
A Novel Supervised Distributed Dictionary Learning based on Learned K-SVD for Image Denoising. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 16th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023, pp. 306-311, 2023, IEEE, 979-8-3503-9361-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | Sachin Bagga, Ruchika Gupta, John Jose |
PortBlocker: Detection and Mitigation of Hardware Trojan through Re-routing and Bypassing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 16th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023, pp. 325-331, 2023, IEEE, 979-8-3503-9361-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | Abu Saleh Musa Miah, Jungpil Shin 0001, Md. Al Mehedi Hasan, Yuichi Okuyama 0001, Nobuyoshi Asai |
Dynamic Hand Gesture Recognition Using Effective Feature Extraction and Attention Based Deep Neural Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 16th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023, pp. 241-247, 2023, IEEE, 979-8-3503-9361-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | Meisam Abdollahi, Sepideh Mashhadi, Ramin Sabzalizadeh, Alireza Mirzaei, Mohammad Elahi, Mohammad Baharloo, Amirali Baniasadi |
IODnet: Indoor/Outdoor Telecommunication Signal Detection through Deep Neural Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 16th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023, pp. 134-141, 2023, IEEE, 979-8-3503-9361-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | Yuji Yamada, Nesrine Berjab, Tomohiro Yoneda, Kenji Kise |
A remote partial-reconfigurable SoC with a RISC-V soft processor targeting low-end FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 16th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023, pp. 31-37, 2023, IEEE, 979-8-3503-9361-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | Zhishang Wang, Khanh N. Dang, Abderazek Ben Abdallah |
Interlinked Chain Method for Blockchain-Based Collaborative Learning in Vehicular Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 16th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023, pp. 354-359, 2023, IEEE, 979-8-3503-9361-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | Duc M. Tran, Joon-Young Choi |
Distributed Data Logger Based on Dual-Core MCU in Motor Drive. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 16th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023, pp. 406-410, 2023, IEEE, 979-8-3503-9361-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | Elijah Tomin, Marina Solnyshkina, Elzara Gafiyatova, Albina Galiakhmetova |
Automatic Text Classification as Relevance Measure for Russian School Physics Texts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 16th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023, pp. 366-370, 2023, IEEE, 979-8-3503-9361-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | Keisuke Takano, Takeaki Yajima, Satoshi Kawakami |
Design of The Ultra-Low-Power Driven VMM Configurations for μW Scale IoT Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 16th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023, pp. 65-72, 2023, IEEE, 979-8-3503-9361-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | |
Front Matter, Table of Contents, Preface, Conference Organization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARMA-DITAM ![In: 14th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 12th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, PARMA-DITAM 2023, January 17, 2023, Toulouse, France., pp. 0:1-0:10, 2023, Schloss Dagstuhl - Leibniz-Zentrum für Informatik, 978-3-95977-269-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | Michele Piccoli, Davide Zoni, William Fornaciari, Giuseppe Massari, Marco Cococcioni, Federico Rossi 0003, Sergio Saponara, Emanuele Ruffaldi |
Dynamic Power Consumption of the Full Posit Processing Unit: Analysis and Experiments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARMA-DITAM ![In: 14th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 12th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, PARMA-DITAM 2023, January 17, 2023, Toulouse, France., pp. 6:1-6:11, 2023, Schloss Dagstuhl - Leibniz-Zentrum für Informatik, 978-3-95977-269-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | Marcel Mettler, Martin Rapp, Heba Khdr, Daniel Mueller-Gritschneder, Jörg Henkel, Ulf Schlichtmann |
MonTM: Monitoring-Based Thermal Management for Mixed-Criticality Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARMA-DITAM ![In: 14th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 12th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, PARMA-DITAM 2023, January 17, 2023, Toulouse, France., pp. 5:1-5:12, 2023, Schloss Dagstuhl - Leibniz-Zentrum für Informatik, 978-3-95977-269-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | Fabio Caironi, Niccolò Andrea Castelli |
ByteNite: A New Business Model for Grid Computing (Invited Paper). ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARMA-DITAM ![In: 14th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 12th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, PARMA-DITAM 2023, January 17, 2023, Toulouse, France., pp. 1:1-1:12, 2023, Schloss Dagstuhl - Leibniz-Zentrum für Informatik, 978-3-95977-269-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | João Bispo, Nuno Paulino 0001, Luís Miguel Sousa |
Challenges and Opportunities in C/C++ Source-To-Source Compilation (Invited Paper). ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARMA-DITAM ![In: 14th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 12th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, PARMA-DITAM 2023, January 17, 2023, Toulouse, France., pp. 2:1-2:15, 2023, Schloss Dagstuhl - Leibniz-Zentrum für Informatik, 978-3-95977-269-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | Manolis Katsaragakis, Konstantinos Stavrakakis, Dimosthenis Masouros, Lazaros Papadopoulos, Dimitrios Soudris |
Adjacent LSTM-Based Page Scheduling for Hybrid DRAM/NVM Memory Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARMA-DITAM ![In: 14th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 12th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, PARMA-DITAM 2023, January 17, 2023, Toulouse, France., pp. 7:1-7:12, 2023, Schloss Dagstuhl - Leibniz-Zentrum für Informatik, 978-3-95977-269-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | Francesco Barchi, Giacomo Pasini, Emanuele Parisi, Giuseppe Tagliavini, Andrea Bartolini, Andrea Acquaviva |
RUST-Encoded Stream Ciphers on a RISC-V Parallel Ultra-Low-Power Processor (Invited Paper). ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARMA-DITAM ![In: 14th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 12th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, PARMA-DITAM 2023, January 17, 2023, Toulouse, France., pp. 3:1-3:12, 2023, Schloss Dagstuhl - Leibniz-Zentrum für Informatik, 978-3-95977-269-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | Andrea Galimberti, Gabriele Montanaro, William Fornaciari, Davide Zoni |
An Evaluation of the State-Of-The-Art Software and Hardware Implementations of BIKE. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARMA-DITAM ![In: 14th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 12th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, PARMA-DITAM 2023, January 17, 2023, Toulouse, France., pp. 4:1-4:12, 2023, Schloss Dagstuhl - Leibniz-Zentrum für Informatik, 978-3-95977-269-3. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | Patrick Finnerty, Tomio Kamada, Chikara Ohta |
A self-adjusting task granularity mechanism for the Java lifeline-based global load balancer library on many-core clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Concurr. Comput. Pract. Exp. ![In: Concurr. Comput. Pract. Exp. 34(2), 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Yutaro Kobayashi, Kentaro Honda, Sasuga Kojima, Hiroshi Fujimoto, Masato Edahiro, Takuya Azumi |
Mapping Method Usable with Clustered Many-core Platforms for Simulink Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Inf. Process. ![In: J. Inf. Process. 30, pp. 141-150, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Atsushi Yano, Takuya Azumi |
CQGA-HEFT: Q-learning-based DAG Scheduling Algorithm Using Genetic Algorithm in Clustered Many-core Platform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Inf. Process. ![In: J. Inf. Process. 30, pp. 659-668, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Atsushi Yano, Shingo Igarashi, Takuya Azumi |
LET Paradigm Scheduling Algorithm Considering Parallel Processing on Clustered Many-core Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Inf. Process. ![In: J. Inf. Process. 30, pp. 646-658, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Ahmed Kamaleldin, Diana Göhringer |
AGILER: An Adaptive Heterogeneous Tile-Based Many-Core Architecture for RISC-V Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 10, pp. 43895-43913, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Behnaz Pourmohseni, Stefan Wildermann, Fedor Smirnov, Paul E. Meyer, Jürgen Teich |
Task Migration Policy for Thermal-Aware Dynamic Performance Optimization in Many-Core Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 10, pp. 33787-33802, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Zhengbo Chen, Di Wang, Qi Yu, Fang Zheng, Feng Guo, Zuoning Chen |
AMT: asynchronous in-place matrix transpose mechanism for sunway many-core processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 78(7), pp. 9456-9474, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Hao Cao, Shaozhong Guo, Jiangwei Hao, Yuanyuan Xia, Jinchen Xu |
Superblock-based performance optimization for Sunway Math Library on SW26010 many-core processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 78(4), pp. 4827-4849, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Ashur Rafiev, Alex Yakovlev, Ghaith Tarawneh, Matthew Naylor, Simon W. Moore, David B. Thomas, Graeme M. Bragg, Mark Vousden, Andrew D. Brown |
Synchronization in graph analysis algorithms on the Partially Ordered Event-Triggered Systems many-core architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IET Comput. Digit. Tech. ![In: IET Comput. Digit. Tech. 16(2-3), pp. 71-88, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Zhe Jiang 0004, Ran Wei, Pan Dong, Yan Zhuang, Neil C. Audsley, Ian Gray |
BlueVisor: Time-Predictable Hardware Hypervisor for Many-Core Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 71(9), pp. 2205-2218, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Yiming Zhang 0003, Lujia Yin, Dongsheng Li 0001, Yuxing Peng 0001, Kai Lu |
ParaX : Bandwidth-Efficient Instance Assignment for DL on Multi-NUMA Many-Core CPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 71(11), pp. 3032-3046, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Veronia Iskandar, Cherif R. Salama, Mohamed Taher |
Dynamic thread mapping for power-efficient many-core systems under performance constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 93, pp. 104614, September 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Aiqiang Yang |
Design and Optimization of Parallel Algorithm for Kalman Filter on SW26010 Many-Core Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Circuits Syst. Comput. ![In: J. Circuits Syst. Comput. 31(4), pp. 2250061:1-2250061:25, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Hengli Huang, Xiaohang Wang 0001, Yingtao Jiang, Amit Kumar Singh 0002, Mei Yang, Letian Huang |
Detection of and Countermeasure Against Thermal Covert Channel in Many-Core Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(2), pp. 252-265, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Hai Wang 0002, Wenjun He, Qinhui Yang, Xizhu Peng, He Tang |
DBP: Distributed Power Budgeting for Many-Core Systems in Dark Silicon. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(12), pp. 5727-5731, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Marcel Mettler, Martin Rapp, Heba Khdr, Daniel Mueller-Gritschneder, Jörg Henkel, Ulf Schlichtmann |
An FPGA-based Approach to Evaluate Thermal and Resource Management Strategies of Many-core Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 19(3), pp. 31:1-31:24, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Sebastien Cook, Paulo Garcia |
Arbitrarily Parallelizable Code: A Model of Computation Evaluated on a Message-Passing Many-Core System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. ![In: Comput. 11(11), pp. 164, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Gábor Dániel Balogh, Tobias S. Flynn, Sylvain Laizet, Gihan R. Mudalige, István Z. Reguly |
Scalable Many-Core Algorithms for Tridiagonal Solvers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Sci. Eng. ![In: Comput. Sci. Eng. 24(1), pp. 26-35, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Ammar Karkar, Nizar Dahir, Terrence S. T. Mak, Kin-Fai Tong |
Thermal and Performance Efficient On-Chip Surface-Wave Communication for Many-Core Systems in Dark Silicon Era. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM J. Emerg. Technol. Comput. Syst. ![In: ACM J. Emerg. Technol. Comput. Syst. 18(3), pp. 49:1-49:18, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Sri Harsha Gade, Sujay Deb |
A Novel Hybrid Cache Coherence with Global Snooping for Many-core Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 27(1), pp. 2:1-2:31, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Marco Bertuletti, Yichao Zhang, Alessandro Vanelli-Coralli, Luca Benini |
Efficient Parallelization of 5G-PUSCH on a Scalable RISC-V Many-core Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2210.09196, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Chifa Dammak, Otmane Aït Mohamed, Mounir Boukadoum |
SEU Reliability Assessment Framework for COTS Many-core Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICM ![In: International Conference on Microelectronics, ICM 2022, Casablanca, Morocco, December 4-7, 2022, pp. 42-45, 2022, IEEE, 978-1-6654-9324-6. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Mohammad Bagherbeik, Wentao Xu, Seyed Farzad Mousavi, Kouichi Kanda, Hirotaka Tamura, Ali Sheikholeslami |
MAQO: A Scalable Many-Core Annealer for Quadratic Optimization on a Stratix 10 FPGA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: FPGA '22: The 2022 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, Virtual Event, USA, 27 February 2022 - 1 March 2022, pp. 155, 2022, ACM, 978-1-4503-9149-8. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Dedong Xie, Zhen Jia, Zili Zhang, Xin Jin 0008 |
Optimizing half precision Winograd convolution on ARM many-core processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APSys ![In: APSys '22: 13th ACM SIGOPS Asia-Pacific Workshop on Systems, Virtual Event, Singapore, August 23 - 24, 2022, pp. 53-60, 2022, ACM, 978-1-4503-9441-3. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | M. Lakshmi Varshika, Adarsha Balaji, Federico Corradi, Anup Das 0001, Jan Stuijt, Francky Catthoor |
Design of Many-Core Big Little µBrains for Energy-Efficient Embedded Neuromorphic Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2022 Design, Automation & Test in Europe Conference & Exhibition, DATE 2022, Antwerp, Belgium, March 14-23, 2022, pp. 1011-1016, 2022, IEEE, 978-3-9819263-6-1. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Matheus A. Cavalcante, Anthony Agnesina, Samuel Riedel, Moritz Brunion, Alberto García-Ortiz, Dragomir Milojevic, Francky Catthoor, Sung Kyu Lim, Luca Benini |
MemPool-3D: Boosting Performance and Efficiency of Shared-L1 Memory Many-Core Clusters with 3D Integration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2022 Design, Automation & Test in Europe Conference & Exhibition, DATE 2022, Antwerp, Belgium, March 14-23, 2022, pp. 394-399, 2022, IEEE, 978-3-9819263-6-1. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Dainius Jenkus, Fei Xia, Rishad A. Shafik, Alex Yakovlev |
Runtime Energy Minimization of Distributed Many-Core Systems using Transfer Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2022 Design, Automation & Test in Europe Conference & Exhibition, DATE 2022, Antwerp, Belgium, March 14-23, 2022, pp. 1209-1214, 2022, IEEE, 978-3-9819263-6-1. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | |
15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022 ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![IEEE, 978-1-6654-6499-4 The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Iacana Ianiski Weber, Angelo Elias Dalzotto, Fernando Gehm Moraes |
A High-level Model to Leverage NoC-based Many-core Research. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: 35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems Design, SBCCI 2022, Porto Alegre, Brazil, August 22-26, 2022, pp. 1-6, 2022, IEEE, 978-1-6654-8128-1. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Zikang Chen, Xiangcong Kong, Xiaoying Zheng, Yongxin Zhu 0001, Tom Trigano |
Parallel Pileup Correction for Nuclear Spectrometric Data on Many-Core Accelerators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SmartCom ![In: Smart Computing and Communication - 7th International Conference, SmartCom 2022, New York City, NY, USA, November 18-20, 2022, Proceedings, pp. 258-267, 2022, Springer, 978-3-031-28123-5. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Rafael Medina, Joshua Kein, Yasir Mahmood Qureshi, Marina Zapater, Giovanni Ansaloni, David Atienza |
Full System Exploration of On-Chip Wireless Communication on Many-Core Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LASCAS ![In: 13th IEEE Latin America Symposium on Circuits and System, LASCAS 2022, Puerto Varas, Chile, March 1-4, 2022, pp. 1-4, 2022, IEEE, 978-1-6654-2008-2. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Angelo Elias Dalzotto, Caroline da Silva Borges, Marcelo Ruaro, Fernando Gehm Moraes |
Leveraging NoC-based Many-core Performance Through Runtime Mapping Defragmentation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICECS 2022 ![In: 29th IEEE International Conference on Electronics, Circuits and Systems, ICECS 2022, Glasgow, United Kingdom, October 24-26, 2022, pp. 1-4, 2022, IEEE, 978-1-6654-8823-5. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Tatsuya Mitsuda, Kenji Ono |
A Scalable Parallel Partition Tridiagonal Solver for Many-Core and Low B/F Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS Workshops ![In: IEEE International Parallel and Distributed Processing Symposium, IPDPS Workshops 2022, Lyon, France, May 30 - June 3, 2022, pp. 860-869, 2022, IEEE, 978-1-6654-9747-3. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Masato Fukui, Yoichi Ishiwata, Takeshi Ohkawa, Midori Sugaya |
IoT Edge Server ROS Node Allocation Method for Multi-SLAM on Many-core. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PerCom Workshops ![In: 2022 IEEE International Conference on Pervasive Computing and Communications Workshops and other Affiliated Events, PerCom 2022 Workshops, Pisa, Italy, March 21-25, 2022, pp. 421-426, 2022, IEEE, 978-1-6654-1647-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Mohammad Bagherbeik, Wentao Xu, Seyed Farzad Mousavi, Kouichi Kanda, Hirotaka Tamura, Ali Sheikholeslami |
MAQO: A Scalable Many-Core Annealer for Quadratic Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Technology and Circuits ![In: IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022, pp. 76-77, 2022, IEEE, 978-1-6654-9772-5. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Ahmed Kamaleldin, Diana Göhringer |
An Agile Tile-based Platform for Adaptive Heterogeneous Many-Core Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPT ![In: International Conference on Field-Programmable Technology, (IC)FPT 2022, Hong Kong, December 5-9, 2022, pp. 1-4, 2022, IEEE, 978-1-6654-5336-3. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Arthur Vianès, Frédéric Pétrot, Frédéric Rousseau 0001 |
A Case for Second-Level Software Cache Coherency on Many-Core Accelerators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RSP ![In: IEEE International Workshop on Rapid System Prototyping, RSP 2022, Shanghai, China, October 13, 2022, pp. 29-35, 2022, IEEE, 979-8-3503-9851-9. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Haikun Jia, Ruichang Ma, Wei Deng 0001, Zhihua Wang 0001, Baoyong Chi |
A 53.6-to-60.2GHz Many-Core Fundamental Oscillator With Scalable Mesh Topology Achieving -136.0dBc/Hz Phase Noise at 10MHz Offset and 190.3dBc/Hz Peak FoM in 65nm CMOS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSCC ![In: IEEE International Solid-State Circuits Conference, ISSCC 2022, San Francisco, CA, USA, February 20-26, 2022, pp. 154-156, 2022, IEEE, 978-1-6654-2800-2. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Alzemiro Henrique Lucas da Silva, Iaçanã I. Weber, André Luís Del Mestre Martins, Fernando Gehm Moraes |
Reliability Assessment of Many-Core Dynamic Thermal Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: IEEE International Symposium on Circuits and Systems, ISCAS 2022, Austin, TX, USA, May 27 - June 1, 2022, pp. 1590-1594, 2022, IEEE, 978-1-6654-8485-5. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Takuma Yabe, Takuya Azumi |
Exploring the Performance of Deep Neural Networks on Embedded Many-Core Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCPS ![In: 13th ACM/IEEE International Conference on Cyber-Physical Systems, ICCPS 2022, Milano, Italy, May 4-6, 2022, pp. 193-202, 2022, IEEE, 978-1-6654-0967-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Tianyang Zhou, Qinglin Wang, Shangfei Yin, Ruochen Hao, Jie Liu 0002 |
Optimizing Yinyang K-Means Algorithm on ARMv8 Many-Core CPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICA3PP ![In: Algorithms and Architectures for Parallel Processing - 22nd International Conference, ICA3PP 2022, Copenhagen, Denmark, October 10-12, 2022, Proceedings, pp. 676-690, 2022, Springer, 978-3-031-22676-2. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Jiazhi Jiang, Jiangsu Du, Dan Huang, Dongsheng Li, Jiang Zheng, Yutong Lu |
Characterizing and Optimizing Transformer Inference on ARM Many-core Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: Proceedings of the 51st International Conference on Parallel Processing, ICPP 2022, Bordeaux, France, 29 August 2022 - 1 September 2022, pp. 20:1-20:11, 2022, ACM, 978-1-4503-9733-9. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Francesca Palumbo, João Bispo, Stefano Cherubin (eds.) |
13th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 11th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, PARMA-DITAM 2022, June 22, 2022, Budapest, Hungary. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARMA-DITAM ![Schloss Dagstuhl - Leibniz-Zentrum für Informatik, 978-3-95977-231-0 The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP BibTeX RDF |
|
15 | Marcelo Ruaro, Kevin J. M. Martin |
ManyGUI: A Graphical Tool to Accelerate Many-core Debugging Through Communication, Memory, and Energy Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DroneSE/RAPIDO@HiPEAC ![In: DroneSE and RAPIDO '22: System Engineering for constrained embedded systems, Budapest Hungary, January 17 - 19, 2022, pp. 39-46, 2022, ACM, 978-1-4503-9566-3. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Juepeng Zheng, Wenzhao Wu, Yi Zhao, Shuai Yuan 0005, Runmin Dong, Lixian Zhang, Haohuan Fu |
A Parallel Approach for Oil Palm Tree Detection on a SW26010 Many-Core Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IGARSS ![In: IEEE International Geoscience and Remote Sensing Symposium, IGARSS 2022, Kuala Lumpur, Malaysia, July 17-22, 2022, pp. 1548-1551, 2022, IEEE, 978-1-6654-2792-0. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Ryo Okamura, Takuya Azumi |
DAG Scheduling Considering Parallel Execution for High-Load Processing on Clustered Many-core Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DS-RT ![In: 26th IEEE/ACM International Symposium on Distributed Simulation and Real Time Applications, DS-RT 2022, Alès, France, September 26-28, 2022, pp. 67-76, 2022, IEEE, 978-1-6654-9799-2. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Sven Rheindt |
Near-Memory Acceleration of Inter-Process Communication on Tile-Based Many-Core Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2022 |
RDF |
|
15 | Sven Alexander Horsinka |
Mixed-Criticality on-Chip Network Modeling for fast Virtual Prototyping of Complex Many-Core SoCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2022 |
RDF |
|
15 | Matheus Schuh |
Implantation sûre d'applications temps-réel critiques sur plateforme pluri-coeur. (Safe Implementation of Hard Real-Time Applications on Many-Core Platforms). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2022 |
RDF |
|
15 | Hui Chen 0016 |
Hardware-software co-design and optimization for point-to-point network-on-chip based many-core systems ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2022 |
DOI RDF |
|
15 | Ing-Jer Huang, Yi-Ju Ke, Shih-Jung Pao |
Critical Signature Assertion and On-the-Fly Recovery for Control Flow Errors in Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 284-290, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Mohammed Omar Awadh Al-Shatari, Fawnizu Azmadi Hussin, Azrina Abd Aziz, Mohd Saufy Rohmad, Xuan-Tu Tran |
Composite Lightweight Authenticated Encryption Based on LED Block Cipher and PHOTON Hash Function for IoT Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 134-139, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Menglei Li, Hongbo Chen, Zixue Cheng |
A Lightweight End-to-end Network for Wearing Mask Recognition on Low-resolution Images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 38-44, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Md. Faizul Ibne Amin, Md. Mostafizer Rahman, Yutaka Watanobe, Muepu Mukendi Daniel |
Impact of Programming Language Skills in Programming Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 271-277, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Jien Hau Ng, Chee Hong Ang, Hwa Chaw Law |
A Realization of IO Physical Memory Protection for RISC-V Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 375-380, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Eito Sato, Koji Inoue, Satoshi Kawakami |
Design and Analysis of a Nano-photonic Processing Unit for Low-Latency Recurrent Neural Network Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 321-329, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Zhenbin Lv, Xin Wang, Haifeng Zhi, Bo Lin, Yitao Shen, Yanyan Wang, Chenxu Wang |
Design and implementation of vehicle oil online information monitoring system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 145-152, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Yu-Cheng Chang, Pin-Hsuan Chao, Sin-Horng Chen, Chun-Shu Wei |
Toward EEG-Based Brain State Recognition for Personalized Neuromodulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 291-296, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Hida Masataka, Yutaka Watanobe |
Making Software Based on Human-Driven Design Case Study: SQL for non-experts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 264-270, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Sih Pin Tan, Yung It Ho |
Scalability of Post-Silicon Test Generation for Multi-core RISC-V SOC Validation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 14-17, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Zhuoqi Guo, Yongchao Zhang, Meiling Hu, Zhongming Xue, Li Geng |
A 94.5% Peak Efficiency, 14mV Output Ripple SC-Buck Step-Up Converter with 1.2-to-5V Output Achieving 20.2% Enhanced Power Efficiency in New PMU Architecture for SoCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 159-166, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Jesse Jeremiah Tanimu, Mohamed Hamada 0001, Patience Robert, Anand Mahendran |
Network Intrusion Detection System Using Deep Learning Method with KDD Cup'99 Dataset. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 251-255, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Kazuei Hironaka, Kensuke Iizuka, Hideharu Amano |
A Message Passing Interface Library for High-Level Synthesis on Multi-FPGA Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 45-52, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Xiang-Yu Chen, Yu-Hsiang Wang, Yao-Song Zhang, Yen-Jui Chen, Shiann-Rong Kuang |
Hardware Implementation of an Automatic Color Equalization Algorithm for Real-time Image Enhancement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 174-179, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Mohammadmehdi Shammasi, Mohammad Baharloo, Meisam Abdollahi, Amirali Baniasadi |
Turn-aware Application Mapping using Reinforcement Learning in Power Gating-enabled Network on Chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 345-352, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Yizhi Chen, Wenyao Zhu, De-Jiu Chen, Zhonghai Lu |
Online Image Sensor Fault Detection for Autonomous Vehicles. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 120-127, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Tan Rong Loo, Tee Hui Teo, Mulat Ayinet Tiruye, I-Chyn Wey |
High-Performance Asynchronous CNN Accelerator with Early Termination. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 140-144, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Jinwu Chen, Tianzhu Xiong, Xin Si |
A Charge-Digital Hybrid Compute-In-Memory Macro with full precision 8-bit Multiply-Accumulation for Edge Computing Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 153-158, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Guillaume Roumage, Selma Azaiez, Stéphane Louise |
A survey of main dataflow MoCCs for CPS design and verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 1-9, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Tanaka Kohsuke, Yuta Shintomi, Yuichi Okuyama 0001, Taro Suzuki |
Design of Reward Functions for RL-based High-Speed Autonomous Driving. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 32-37, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Raman Maurya, Tee Hui Teo, Shi Hui Chua, Hwang-Cherng Chow, I-Chyn Wey |
Complex Human Activities Recognition Based on High Performance 1D CNN Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 330-336, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Toshihiro Uetsuki, Yohei Shimmyo, Yuichi Okuyama 0001, Yoichi Tomioka, Jungpil Shin 0001 |
Acceleration of DNN-based Hand Pose Estimation with Monocular Camera Using TVM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 61-66, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Tomonori Suzuki, Yutaka Watanobe, Divij G. Singh |
Algorithm to Interconvert SQL and Procedural Visual Queries. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 256-263, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Xuewen He, Yajie Wu, Yichuan Bai, Jie Liu, Li Du, Yuan Du |
A Reconfigurable Design of Flexible-arbitrated Crossbar Interconnects in Multi-core SoC system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 368-374, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Yu-Hong Chang, Tourangbam Harishore Singh, Po-Tsang Huang |
Cognitive Bus Coding Scheme for Inter-Chip Communications of Deep Learning Accelerator Chiplet on Low-cost Si and Glass Interposer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 232-238, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Hasitha Muthumala Waidyasooriya, Yuta Ohma, Masanori Hariyama |
FPGA-Based Prototype of a Quantum Annealing Simulator for Sparse Ising Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 195-199, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Albert Budi Christian, Yu-Hsuan Wu, Chih-Yu Lin, Lan-Da Van, Yu-Chee Tseng |
Radar and Camera Fusion for Object Forecasting in Driving Scenarios. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 105-111, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Akihisa Kawabe, Ryuto Haga, Yoichi Tomioka, Yuichi Okuyama 0001, Jungpil Shin 0001 |
Fake Image Detection Using An Ensemble of CNN Models Specialized For Individual Face Parts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 72-77, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Ashish Reddy Bommana, Srinivas Boppu |
A Run-time Tapered Floating-Point Adder/Subtractor Supporting Vectorization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 305-312, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Vijayalakshmi Saravanan, Gang Wan, Anju S. Pillai |
Exploration of an Enhanced Scheduling Approach with Feasibility Analysis on a Single CPU System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022, pp. 180-186, 2022, IEEE, 978-1-6654-6499-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
Displaying result #401 - #500 of 2671 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ >>] |
|