The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multipliers with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1975 (15) 1976-1980 (18) 1981-1984 (20) 1985-1988 (24) 1989-1990 (20) 1991 (16) 1992-1993 (32) 1994 (18) 1995 (39) 1996 (28) 1997 (27) 1998 (40) 1999 (58) 2000 (47) 2001 (76) 2002 (74) 2003 (105) 2004 (101) 2005 (139) 2006 (151) 2007 (117) 2008 (166) 2009 (91) 2010 (55) 2011 (56) 2012 (66) 2013 (73) 2014 (79) 2015 (91) 2016 (79) 2017 (94) 2018 (133) 2019 (110) 2020 (108) 2021 (119) 2022 (125) 2023 (137) 2024 (31)
Publication types (Num. hits)
article(1370) book(1) data(2) incollection(14) inproceedings(1381) phdthesis(10)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1366 occurrences of 709 keywords

Results
Found 2778 publication records. Showing 2778 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Wen-Shiaw Peng, Chen-Yi Lee An Efficient VLSI Architecture for Separable 2-D Discrete Wavelet Transform. Search on Bibsonomy ICIP (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Antonis M. Paschalis, Nektarios Kranitis, Mihalis Psarakis, Dimitris Gizopoulos, Yervant Zorian An Effective BIST Architecture for Fast Multiplier Cores. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15P. Szabe VLSI implementation of minimum order state-space structures for adaptive digital filters. Search on Bibsonomy KES The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Elena Dubrova Evaluation of m-Valued Fixed Polarity Generalizations of Reed-Muller Canonical Form. Search on Bibsonomy ISMVL The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Reed-Muller canonical form, fixed polarity, multiple-valued function
15S. K. Jain, Leilei Song, Keshab K. Parhi Efficient semisystolic architectures for finite-field arithmetic. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Tien-Toan Do, Holger Kropp, Carsten Reuter, Peter Pirsch A Flexible Implementation of High-Performance FIR Filters on Xilinx FPGAs. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Per Larsson-Edefors A Miniature Serial-Data SIMD Architecture. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Ansgar Drolshagen, Walter Anheier, C. Chandra Sekhar A Residue Number Arithmetic based Circuit for Pipelined Computation of Autocorrelation Coefficients of Speech Signal. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF modulo arithmetic circuits, RNS compiler, Autocorrelator circuit, Residue number systems
15Paul F. Stelling, Vojin G. Oklobdzija Implementing Multiply-Accumulate Operation in Multiplication Time. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multiply-accumulate operation, multiplication time, optimal delays, instruction time, optimal multiply-accumulate circuit, RISC CPU, partial product reduction tree, final adder, digital signal processing, power savings, multiplying circuits, circuit design, VLSI circuits, parallel multiplier, processor performance, video applications, graphics applications, clock speed
15Christof Paar, Martin Rosner Comparison of arithmetic architectures for Reed-Solomon decoders in reconfigurable hardware. Search on Bibsonomy FCCM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Deepak Kapur, Mahadevan Subramaniam Mechanizing Verification of Arithmetic Circuits: SRT Division. Search on Bibsonomy FSTTCS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Sebastian T. J. Fenn, Mohammed Benaissa, David Taylor Finite field inversion over the dual basis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
15Masahiro Fujita Verification of Arithmetic Circuits by Comparing Two Similar Circuits. Search on Bibsonomy CAV The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
15Leilei Song, Keshab K. Parhi Efficient Finite Field Serial/Parallel Multiplication. Search on Bibsonomy ASAP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF finite field serial/parallel multiplication, finite field arithmetic architectures, bit-serial/parallel finite field multiplier, standard basis representation, optimal primitive polynomials, minimum hardware complexity, semi-systolic architecture, computational complexity, cryptography, cryptography, encoding, digital arithmetic, polynomials, multiplying circuits, VLSI implementation, coding theory
15Jaswinder Pal Singh, Anshul Kumar, Shashi Kumar A multiplier generator for Xilinx FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multiplier generator, Xilinx FPGAs, LUT based FPGA, sequential designs, combinational designs, pipelined designs, IDEAS synthesis system, XC3000 family, XC4000 family, dedicated carry logic, XACT tool, XBLOX tool, field programmable gate arrays, high level synthesis, sequential circuits, combinational circuits, digital arithmetic, logic CAD, pipeline processing, integrated circuit design, circuit CAD, table lookup, multiplying circuits, module generator, carry logic, multiplier designs
15Cheng-Wen Wu, Ming-Kwang Chang Bit-level systolic arrays for finite-field multiplications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
15David J. Mayes, Alister Hamilton A VLSI Current Mode Synapse Chip. Search on Bibsonomy IWANN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
15Shiu-Kai Chin Verified functions for generating signed-binary arithmetic hardware. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
15Thanos Stouraitis, Alexander Skavantzos Multiplication of complex numbers encoded as polynomials. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
15Willi Geiselmann, Dieter Gollmann Symmetry and Duality in Normal Basis Multiplication. Search on Bibsonomy AAECC The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
15Renato de Mori, Régis Cardin A Recursive Algorithm for Binary Multiplication and Its Implementation Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
15Franco P. Preparata, Jean Vuillemin Area-Time Optimal VLSI Networks for Computing Integer Multiplications and Discrete Fourier Transform. Search on Bibsonomy ICALP The full citation details ... 1981 DBLP  DOI  BibTeX  RDF
12Francesco Antognazza, Alessandro Barenghi, Gerardo Pelosi, Ruggero Susella Performance and Efficiency Exploration of Hardware Polynomial Multipliers for Post-Quantum Lattice-Based Cryptosystems. Search on Bibsonomy SN Comput. Sci. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Ross Drummond, Chris Guiver, Matthew C. Turner Exponential input-to-state stability for Lur'e systems via Integral Quadratic Constraints and Zames-Falb multipliers. Search on Bibsonomy IMA J. Math. Control. Inf. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Tian Tian, Kunde Yang, Fei-Yun Wu, Ying Zhang 0023 Channel Estimation for Underwater Acoustic Communications in Impulsive Noise Environments: A Sparse, Robust, and Efficient Alternating Direction Method of Multipliers-Based Approach. Search on Bibsonomy Remote. Sens. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12R. Tyrrell Rockafellar Generalizations of the proximal method of multipliers in convex optimization. Search on Bibsonomy Comput. Optim. Appl. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Rongmei Liang, Xiaofei Wu, Zhimin Zhang Linearized alternating direction method of multipliers for elastic-net support vector machines. Search on Bibsonomy Pattern Recognit. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Bahram Rashidi Efficient and low-cost approximate multipliers for image processing applications. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Srikant Kumar Beura, Sudeshna Manjari Mahanta, Bishnulatpam Pushpa Devi, Prabir Saha Inexact radix-4 Booth multipliers based on new partial product generation scheme for image multiplication. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Xiaofei Wu, Hao Ming, Zhimin Zhang 0009, Zhenyu Cui Multi-block alternating direction method of multipliers for ultrahigh dimensional quantile fused regression. Search on Bibsonomy Comput. Stat. Data Anal. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Luca Urbinati, Mario R. Casu High-Level Design of Precision-Scalable DNN Accelerators Based on Sum-Together Multipliers. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Sunwoong Kim, Cameron James Norris, James I. Oelund, Rob A. Rutenbar Area-Efficient Iterative Logarithmic Approximate Multipliers for IEEE 754 and Posit Numbers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12YaJuan Hui, Qingzhen Li, Leimin Wang, Cheng Liu 0008, Deming Zhang, Xiangshui Miao In-Memory Wallace Tree Multipliers Based on Majority Gates Within Voltage-Gated SOT-MRAM Crossbar Arrays. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Samira Shirinabadi Farahani, Mohammad Reza Reshadinezhad, Seyed Erfan Fatemieh New design for error-resilient approximate multipliers used in image processing in CNTFET technology. Search on Bibsonomy J. Supercomput. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Atefeh Minaeifar, Ebrahim Abiri, Kourosh Hassanli, Abdolreza Darabi A High-Accuracy Low-Power Approximate Multipliers with New Error Compensation Technique for DSP Applications. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Fujihiko Matsumoto, Hinano Ohtsu Consideration of Integrated Low-Frequency Low-Pass Notch Filter Employing CCII Based Capacitance Multipliers. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Zijing Niu, Tingting Zhang, Honglan Jiang, Bruce F. Cockburn, Leibo Liu, Jie Han 0001 Hardware-Efficient Logarithmic Floating-Point Multipliers for Error-Tolerant Applications. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12William P. Heath, Joaquín Carrasco, Jingfan Zhang Phase Limitations of Multipliers at Harmonics. Search on Bibsonomy IEEE Trans. Autom. Control. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Hai Mo, Yong Wu, Honglan Jiang, Zining Ma, Fabrizio Lombardi, Jie Han 0001, Leibo Liu Learning the Error Features of Approximate Multipliers for Neural Network Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Muhammad Hamis Haider, Hao Zhang 0041, Seok-Bum Ko Decoder Reduction Approximation Scheme for Booth Multipliers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Alexandros E. Tzikas, Licio Romao, Mert Pilanci, Alessandro Abate, Mykel J. Kochenderfer Distributed Markov Chain Monte Carlo Sampling based on the Alternating Direction Method of Multipliers. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Shuang Li, Ke Li, Wei Li, Ming Yang 0017 Evolutionary Alternating Direction Method of Multipliers for Constrained Multi-Objective Optimization with Unknown Constraints. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Vito Cerone, Sophie M. Fosson, Simone Pirrera, Diego Regruto A new framework for constrained optimization via feedback control of Lagrange multipliers. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Chao Kan, Wen Song Second-Order Conditions for the Existence of Augmented Lagrange Multipliers for Sparse Optimization. Search on Bibsonomy J. Optim. Theory Appl. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Isaac A. García, Susanna Maza Non-autonomous inverse Jacobi multipliers and periodic orbits of planar vector fields. Search on Bibsonomy Commun. Nonlinear Sci. Numer. Simul. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Mojtaba Noorallahzadeh, Mohammad Mosleh, Kamalika Datta A new design of parity-preserving reversible multipliers based on multiple-control toffoli synthesis targeting emerging quantum circuits. Search on Bibsonomy Frontiers Comput. Sci. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Daniel Lemire Exact Short Products From Truncated Multipliers. Search on Bibsonomy Comput. J. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Zhanglei Shi, Xiao Peng Li, Chi-Sing Leung, Hing Cheung So Cardinality Constrained Portfolio Optimization via Alternating Direction Method of Multipliers. Search on Bibsonomy IEEE Trans. Neural Networks Learn. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12 Retraction Note: Alternating-direction-method-of-multipliers-based fast model predictive control for an aerial trees-pruning robot. Search on Bibsonomy J. Comb. Optim. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Wakana Ohashi, Aoi Yamaguch, Hiroki Nishikawa, Hiroyuki Tomiyama Fast 32-bit and 48-bit Multipliers for FPGA. Search on Bibsonomy ICEIC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Vincent Hwang, Chi-Ting Liu, Bo-Yin Yang Algorithmic Views of Vectorized Polynomial Multipliers - NTRU Prime. Search on Bibsonomy ACNS (2) The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Jennifer Lam, Jeffrey Helt, Wyatt Lloyd, Haonan Lu Accelerating Skewed Workloads With Performance Multipliers in the TurboDB Distributed Database. Search on Bibsonomy NSDI The full citation details ... 2024 DBLP  BibTeX  RDF
12Vishesh Mishra, Sparsh Mittal, Nirbhay Mishra, Rekha Singhal Security Implications of Approximation: A Study of Trojan Attacks on Approximate Adders and Multipliers. Search on Bibsonomy VLSID The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Ruishuang Chen, Zhihui Liang, Jie Cheng, Pengcheng You, Zaiyue Yang Multi-Agent Cooperative Motion Planning Based on Alternating Direction Method of Multipliers. Search on Bibsonomy IEEE Control. Syst. Lett. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12R. Nishanth, C. Helen Sulochana, A. S. Radhamani, A. Ahilan Design of imprecise compressor applications based on Hazy-Multipliers. Search on Bibsonomy J. Intell. Fuzzy Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Yibao Fan, You-lin Shang, Zheng-Fen Jin, Jia Liu, Roxin Zhang An accelerated proximal alternating direction method of multipliers for robust fused Lasso. Search on Bibsonomy RAIRO Oper. Res. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Hao Wang 0075, Wanying Zhang, Yuxin He, Wenming Cao 0001 l0-norm based Short-term Sparse Portfolio Optimization Algorithm Based on Alternating Direction Method of Multipliers. Search on Bibsonomy Signal Process. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Samad Wali, Chunming Li, Mudassar Imran, Abdul Shakoor, Abdul Basit 0003 Level-set evolution for medical image segmentation with alternating direction method of multipliers. Search on Bibsonomy Signal Process. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Yuhao Liu, Shubham Rai, Salim Ullah, Akash Kumar 0001 High-Flexibility Designs of Quantized Runtime Reconfigurable Multi-Precision Multipliers. Search on Bibsonomy IEEE Embed. Syst. Lett. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Zahra Yazdanian Amiri, Mojtaba Valinataj High-speed binary coded decimal digit multipliers with multiple error detection. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Yongxia Sheng, Huaguo Liang, Bao Fang, Cuiyun Jiang, Zhengfeng Huang, Maoxiang Yi, Yingchun Lu Design of approximate Booth multipliers based on error compensation. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Yuchao Tang, Shirong Deng, Jigen Peng, Tieyong Zeng Proximal linearized alternating direction method of multipliers algorithm for nonconvex image restoration with impulse noise. Search on Bibsonomy IET Image Process. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Chun-Na Li, Yi Li, Yan-Hui Meng, Pei-Wei Ren, Yuan-Hai Shao 0001 L₂,₁-Norm Regularized Robust and Sparse Linear Discriminant Analysis via an Alternating Direction Method of Multipliers. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Alvaro Cintas Canto, Mehran Mozaffari Kermani, Reza Azarderakhsh Reliable Architectures for Finite Field Multipliers Using Cyclic Codes on FPGA Utilized in Classic and Post-Quantum Cryptography. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Madhan Thirumoorthi, Alexander J. Leigh, Moslem Heidarpur, Mohammed A. S. Khalid, Mitra Mirhassani Novel Formulations of M-Term Overlap-Free Karatsuba Binary Polynomial Multipliers and Their Hardware Implementations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Yuao Zhang, Yunwei Dai, Qingbiao Wu Sparse and Outlier Robust Extreme Learning Machine Based on the Alternating Direction Method of Multipliers. Search on Bibsonomy Neural Process. Lett. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Alvaro Cintas Canto, Ausmita Sarker, Jasmin Kaur, Mehran Mozaffari Kermani, Reza Azarderakhsh Error Detection Schemes Assessed on FPGA for Multipliers in Lattice-Based Key Encapsulation Mechanisms in Post-Quantum Cryptography. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Lan Li, Lu Mao, Mingli Jing, Wei Wei, Yang Chen Phase retrieval from short-time fractional Fourier measurements using alternating direction method of multipliers. Search on Bibsonomy Int. J. Wavelets Multiresolution Inf. Process. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Shan Ma, Feng Ma, Chaoyu Tang An Energy-Efficient Optimal Operation Control Strategy for High-Speed Trains via a Symmetric Alternating Direction Method of Multipliers. Search on Bibsonomy Axioms The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Hans G. Feichtinger A Characterization of Multipliers of the Herz Algebra. Search on Bibsonomy Axioms The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Lingxiao Hou, Yutaka Masuda, Tohru Ishihara An Accuracy Reconfigurable Vector Accelerator based on Approximate Logarithmic Multipliers for Energy-Efficient Computing. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Yaming Yang, Xingyao Yin, Kun Li, Yongjian Zeng A Linearized Alternating Direction Method of Multipliers Algorithm for Prestack Seismic Inversion in VTI Media Using the Quadratic PP-Reflectivity Approximation. Search on Bibsonomy IEEE Trans. Geosci. Remote. Sens. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Nima Amirafshar, Ahmad Sadigh Baroughi, Hadi Shahriar Shahhoseini, Nima TaheriNejad Carry Disregard Approximate Multipliers. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Ladan Sayadi, Somayeh Timarchi, Akbar Sheikh-Akbari Two Efficient Approximate Unsigned Multipliers by Developing New Configuration for Approximate 4:2 Compressors. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Roman Sotner, Ladislav Polak, Jan Jerabek, Jiri Petrzela Simple Diode-Less Active RMS Detector Using Special Analog Multipliers. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Muhammad Hamis Haider, Seok-Bum Ko Booth Encoding-Based Energy Efficient Multipliers for Deep Learning Systems. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Nima Kavand, Armin Darjani, Shubham Rai, Akash Kumar 0001 Design of Energy-Efficient RFET-Based Exact and Approximate 4:2 Compressors and Multipliers. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Yongqiang Zhang 0006, Lingyun Xie, Jie Han 0001, Xin Cheng 0001, Guangjun Xie Highly Accurate and Energy Efficient Binary-Stochastic Multipliers for Fault-Tolerant Applications. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Zainab Aizaz, Kavita Khare ASMPEC: Approximate-Sum-Based Mapping of Partial Products With Error Correction for Softcore Multipliers on FPGAs. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Xuyang Wu, Jie Lu 0007 A Unifying Approximate Method of Multipliers for Distributed Composite Optimization. Search on Bibsonomy IEEE Trans. Autom. Control. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Yinan Li, Ruili Wang, Yuqiang Fang, Meng Sun 0001, Zhangkai Luo Alternating Direction Method of Multipliers for Convolutive Non-Negative Matrix Factorization. Search on Bibsonomy IEEE Trans. Cybern. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Lanlan Su, Peter J. Seiler, Joaquín Carrasco, Sei Zhen Khong On the necessity and sufficiency of discrete-time O'Shea-Zames-Falb multipliers. Search on Bibsonomy Autom. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Andrey Kharitenko, Carsten W. Scherer Time-varying Zames-Falb multipliers for LTI Systems are superfluous. Search on Bibsonomy Autom. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Alberto Bemporad Training recurrent neural networks by sequential least squares and the alternating direction method of multipliers. Search on Bibsonomy Autom. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Andreas Böttcher, Martin Kumm Towards Globally Optimal Design of Multipliers for FPGAs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Ahmad Towhidy, Reza Omidi 0001, Karim Mohammadi On the Design of Iterative Approximate Floating-Point Multipliers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Sahith Guturu, Uppugunduru Anil Kumar, S. Vignesh Bharadwaj, Syed Ershad Ahmed Design methodology for highly accurate approximate multipliers for error resilient applications. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Jalil Mazloum, Behrang Hadian Siahkal-Mahalle Improving the accuracy of approximate multipliers based on the characteristics of 4:2 compressors. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Sohrab Sajadimanesh, Ehsan Atoofian EAM: Ensemble of approximate multipliers for robust DNNs. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Sebastian Schwarz, Antonello Monti Computational Performance Study on the Alternating Direction Method of Multipliers Algorithm for a Demand Response Peak Shaving Application. Search on Bibsonomy IEEE Syst. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Faa-Jeng Lin, Jen-Chung Liao, Yu Ming Zhang, Yu Cheng Huang Optimal Economic Dispatch and Power Generation for Microgrid Using Novel Lagrange Multipliers-Based Method With HIL Verification. Search on Bibsonomy IEEE Syst. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Yu-Hong Dai, Fangfang Xu, Liwei Zhang Alternating direction method of multipliers for linear hyperspectral unmixing. Search on Bibsonomy Math. Methods Oper. Res. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Jing Gong, Hassaan Saadat, Hasindu Gamaarachchi, Haris Javaid, Xiaobo Sharon Hu, Sri Parameswaran ApproxTrain: Fast Simulation of Approximate Multipliers for DNN Training and Inference. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Zahra Ebrahimi, Muhammad Zaid, Mark Wijtvliet, Akash Kumar 0001 RAPID: Approximate Pipelined Soft Multipliers and Dividers for High Throughput and Energy Efficiency. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Fereshteh Karimi, Reza Faghih Mirzaee, Ali Fakeri-Tabrizi, Arman Roohi Design and evaluation of ultra-fast 8-bit approximate multipliers using novel multicolumn inexact compressors. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Sadulla Shaik, Satish Kanapala, Vallabhuni Vijay, Chandra Shaker Pittala Design and performance analysis of low power and energy-efficient vedic multipliers. Search on Bibsonomy Int. J. Syst. Assur. Eng. Manag. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Muhammad Awais, Ali Zahir, Syed Ayaz Ali Shah, Pedro Reviriego, Anees Ullah, Nasim Ullah, Adam Khan, Hazrat Ali Toward Optimal Softcore Carry-aware Approximate Multipliers on Xilinx FPGAs. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Yuxuan Du, Zhuo Chen, Boyang Cheng, Weiwei Shan Design and analysis of leading one/zero detector based approximate multipliers. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Jiawen Cheng, Yong Xiao, Yun Shao 0008, Guanghai Dong, Songlin Lyu, Wenjian Yu Machine-learning-driven Architectural Selection of Adders and Multipliers in Logic Synthesis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Liwei Zhang, Haoyang Liu, Xiantao Xiao Regrets of proximal method of multipliers for online non-convex optimization with long term constraints. Search on Bibsonomy J. Glob. Optim. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 2778 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license