The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multithreaded with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1992 (23) 1993-1994 (45) 1995 (23) 1996 (35) 1997 (50) 1998 (56) 1999 (84) 2000 (76) 2001 (73) 2002 (79) 2003 (99) 2004 (107) 2005 (133) 2006 (147) 2007 (150) 2008 (139) 2009 (118) 2010 (90) 2011 (78) 2012 (82) 2013 (74) 2014 (70) 2015 (69) 2016 (42) 2017 (37) 2018 (51) 2019 (31) 2020 (27) 2021 (27) 2022 (18) 2023 (18) 2024 (6)
Publication types (Num. hits)
article(523) book(4) data(1) incollection(19) inproceedings(1556) phdthesis(54)
Venues (Conferences, Journals, ...)
IPDPS(96) CoRR(46) PPoPP(32) Euro-Par(30) ISCA(29) PLDI(29) IEEE Trans. Parallel Distribut...(28) HPCA(27) IEEE Micro(24) SPAA(24) IEEE PACT(23) ICS(22) ASPLOS(21) IEEE Trans. Computers(21) J. Parallel Distributed Comput...(21) PACT(20) More (+10 of total 711)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1822 occurrences of 797 keywords

Results
Found 2157 publication records. Showing 2157 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Nagesh B. Lakshminarayana, Jaekyu Lee, Hyesoon Kim Age based scheduling for asymmetric multiprocessors. Search on Bibsonomy SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF age based scheduling, asymmetric multiprocessors, thread scheduling
15Matteo Frigo, Pablo Halpern, Charles E. Leiserson, Stephen Lewin-Berlin Reducers and other Cilk++ hyperobjects. Search on Bibsonomy SPAA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cilk++, hyperobject, reducer, cilk
15Yu Yang 0013, Xiaofang Chen, Ganesh Gopalakrishnan, Robert M. Kirby Efficient Stateful Dynamic Partial Order Reduction. Search on Bibsonomy SPIN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Chao Wang 0001, Yu Yang 0013, Aarti Gupta, Ganesh Gopalakrishnan Dynamic Model Checking with Property Driven Pruning to Detect Race Conditions. Search on Bibsonomy ATVA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Enno Lübbers, Marco Platzner A portable abstraction layer for hardware threads. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Satoshi Yamada, Shigeru Kusakabe Effect of context aware scheduler on TLB. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Diana Bautista, Julio Sahuquillo, Houcine Hassan, Salvador Petit, José Duato A simple power-aware scheduling for multicore systems when running real-time applications. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Vicenç Beltran 0001, Jordi Torres, Eduard Ayguadé Improving Web Server Performance Through Main Memory Compression. Search on Bibsonomy ICPADS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Chen Tian 0002, Vijay Nagarajan, Rajiv Gupta 0001, Sriraman Tallam Dynamic recognition of synchronization operations for improved data race detection. Search on Bibsonomy ISSTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF synchronization and infeasible races, data races, record and replay
15Jialin Dou, Marcelo H. Cintra A compiler cost model for speculative parallelization. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF thread-level speculation, speculative multithreading, Speculative parallelization
15Pratibha Permandla, Michael Roberson, Chandrasekhar Boyapati A type system for preventing data races and deadlocks in the java virtual machine language: 1. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SafeJava, deadlocks, data races, ownership types
15Hojung Cha, Sukwon Choi, Inuk Jung, Hyoseung Kim 0001, Hyojeong Shin, Jaehyun Yoo, Chanmin Yoon RETOS: resilient, expandable, and threaded operating system for wireless sensor networks. Search on Bibsonomy IPSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF wireless sensor network, operating systems, multithreading
15Njuguna Njoroge, Jared Casper, Sewook Wee, Yuriy Teslyar, Daxia Ge, Christos Kozyrakis, Kunle Olukotun ATLAS: a chip-multiprocessor with transactional memory support. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Ta-Chung Tsai, Alejandro Russo, John Hughes 0001 A Library for Secure Multi-threaded Information Flow in Haskell. Search on Bibsonomy CSF The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Jeremy S. Meredith, Sadaf R. Alam, Jeffrey S. Vetter Analysis of a Computational Biology Simulation Technique on Emerging Processing Architectures. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Ryan E. Grant, Ahmad Afsahi A Comprehensive Analysis of OpenMP Applications on Dual-Core Intel Xeon SMPs. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Thierry Gautier, Jean-Louis Roch, Frédéric Wagner Fine Grain Distributed Implementation of a Dataflow Language with Provable Performances. Search on Bibsonomy International Conference on Computational Science (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF distributed stack, work depth model, dataflow, work-stealing
15Jun Chen 0018, Steve MacDonald Testing concurrent programs using value schedules. Search on Bibsonomy ASE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF value schedule, model checking, concurrent
15William W. Pugh, Nathaniel Ayewah Unit testing concurrent software. Search on Bibsonomy ASE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF JUnit test cases, concurrent abstraction, multithreadedTC, java, testing framework
15Shimin Chen, Phillip B. Gibbons, Michael Kozuch, Vasileios Liaskovitis, Anastassia Ailamaki, Guy E. Blelloch, Babak Falsafi, Limor Fix, Nikos Hardavellas, Todd C. Mowry, Chris Wilkerson Scheduling threads for constructive cache sharing on CMPs. Search on Bibsonomy SPAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF constructive cache sharing, parallel depth first, thread granularity, working set profiling, chip multiprocessors, scheduling algorithms, work stealing
15Bart Jacobs 0002, Peter Müller 0001, Frank Piessens Sound reasoning about unchecked exceptions. Search on Bibsonomy SEFM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Giuliano Antoniol, Yann-Gaël Guéhéneuc Feature Identification: An Epidemiological Metaphor. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Firefox and Mozilla Web browsers, static analysis, dynamic analysis, Program understanding, epidemiology, feature identification
15Danny Nebenzahl, Shmuel Sagiv, Avishai Wool Install-Time Vaccination of Windows Executables to Defend against Stack Smashing Attacks. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Computer security, instrumentation, buffer overflow
15William D. Gropp, Rajeev Thakur Issues in Developing a Thread-Safe MPI Implementation. Search on Bibsonomy PVM/MPI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Wenlong Li, Eric Q. Li, Ran Meng, Tao Wang 0003, Carole Dulong Performance analysis of Java concurrent programming: a case study of video mining system. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Kridsadakorn Chaichoompu, Surin Kittitornkun, Sissades Tongsima MT-ClustalW: multithreading multiple sequence alignment. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Jeffrey Namkung, Dohyung Kim, Rajesh K. Gupta 0001, Igor Kozintsev, Jean-Yves Bouguet, Carole Dulong Phase guided sampling for efficient parallel application simulation. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF phase analysis, simulation, sampling, chip multiprocessors, multithreading
15Alejandro Russo, John Hughes 0001, David A. Naumann, Andrei Sabelfeld Closing Internal Timing Channels by Transformation. Search on Bibsonomy ASIAN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Marc Tremblay A modern high-performance processor pipeline. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Arun Kejariwal, Hideki Saito 0001, Xinmin Tian, Milind Girkar, Wei Li 0015, Utpal Banerjee, Alexandru Nicolau, Constantine D. Polychronopoulos Lightweight lock-free synchronization methods for multithreading. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Bernhard Fechner A Fault-Tolerant Dynamic Fetch Policy for SMT Processors in Multi-Bus Environments. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Richard A. Hankins, Gautham N. Chinya, Jamison D. Collins, Perry H. Wang, Ryan N. Rakvic, Hong Wang 0003, John Paul Shen Multiple Instruction Stream Processor. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Seungryul Choi, Donald Yeung Learning-Based SMT Processor Resource Distribution via Hill-Climbing. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Min Xu, Mark D. Hill, Rastislav Bodík A regulated transitive reduction (RTR) for longer memory race recording. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF race recording, multithreading, determinism
15Xiangrong Zhou, Peter Petrov Rapid and low-cost context-switch through embedded processor customization for real-time and control applications. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Yuxiong He, Wen-Jing Hsu, Charles E. Leiserson Provably Efficient Two-Level Adaptive Scheduling. Search on Bibsonomy JSSPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Jeremy Manson, William W. Pugh, Sarita V. Adve The Java memory model. Search on Bibsonomy POPL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Java, concurrency, multithreading, memory model
15Bo Liang, Hong An, Fang Lu, Rui Guo Improving Latency Tolerance of Network Processors Through Simultaneous Multithreading. Search on Bibsonomy APPT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Min Xu, Rastislav Bodík, Mark D. Hill A serializability violation detector for shared-memory server programs. Search on Bibsonomy PLDI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multithreading, serializability, race conditions
15Keki M. Burjorjee, Jordan B. Pollack Theme preservation and the evolution of representation. Search on Bibsonomy GECCO Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF evolution of representation, theme preservation, evolutionary algorithms
15Tipp Moseley, Alex Shye, Vijay Janapa Reddi, Matthew Iyer, Dan Fay, David Hodgdon, Joshua L. Kihm, Alex Settle, Dirk Grunwald, Daniel A. Connors Dynamic run-time architecture techniques for enabling continuous optimization. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scheduling, profiling, multithreading, performance counters
15Grace J. Hwang, Joseph Arul, Eric Lin, Chung-Yun Hung Design and Multithreading Implementation of the Wave-Front Algorithm for Constructing Voronoi Diagrams. Search on Bibsonomy ICA3PP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Ahmed Bouajjani, Markus Müller-Olm, Tayssir Touili Regular Symbolic Analysis of Dynamic Networks of Pushdown Systems. Search on Bibsonomy CONCUR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Jih-Fu Tu Cache Management for Discrete Processor Architectures. Search on Bibsonomy ISPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Discrete processor architectures, write-invalidate (WI) and cache block, multithreading, cache coherency, shared cache, memory latency
15Ohad Shacham, Mooly Sagiv, Assaf Schuster Scaling model checking of dataraces using dynamic information. Search on Bibsonomy PPoPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF datarace, lockset, model checking, multithreading, data race detection
15Albert Meixner, Daniel J. Sorin Dynamic Verification of Sequential Consistency. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Jialin Dou, Marcelo H. Cintra Compiler Estimation of Load Imbalance Overhead in Speculative Parallelization. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Cormac Flanagan Verifying Commit-Atomicity Using Model-Checking. Search on Bibsonomy SPIN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Lucian Codrescu, S. Nugent, James D. Meindl, D. Scott Wills Modeling technology impact on cluster microprocessor performance. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Radu Rugina, Martin C. Rinard Pointer analysis for structured parallel programs. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Pointer analysis
15Thomas A. Henzinger, Ranjit Jhala, Rupak Majumdar, Shaz Qadeer Thread-Modular Abstraction Refinement. Search on Bibsonomy CAV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Erika Ábrahám, Frank S. de Boer, Willem P. de Roever, Martin Steffen A Compositional Operational Semantics for JavaMT. Search on Bibsonomy Verification: Theory and Practice The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Wolfgang Blochinger, Wolfgang Küchlin The Design of an API for Strict Multithreading in C++. Search on Bibsonomy Euro-Par The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Juan del Cuvillo, Xinmin Tian, Guang R. Gao, Milind Girkar Performance Study of a Whole Genome Comparison Tool on a Hyper-Threading Multiprocessor. Search on Bibsonomy ISHPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Raul Silaghi, Alfred Strohmeier, Jörg Kienzle Porting OMTTs to CORBA. Search on Bibsonomy OTM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Object Transaction Service, OMTTs, Con-currency, CORBA, Transactions
15Bartosz Balis, Marian Bubak, Wlodzimierz Funika, Roland Wismüller, Grzegorz Kaplita Monitoring Threaded Application with Thread-Enabled OMIS Monitor. Search on Bibsonomy PPAM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF performance analysis, monitoring, debugging, Multithreading, parallel tools
15Jun Li 0008 Monitoring and Characterization of Component-Based Systems with Global Causality Capture. Search on Bibsonomy ICDCS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Eli Pozniansky, Assaf Schuster Efficient on-the-fly data race detection in multihreaded C++ programs. Search on Bibsonomy PPoPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF concurrency, synchronization, multithreading, instrumentation, data race
15Michael Factor, Assaf Schuster, Konstantin Shagin JavaSplit: A Runtime for Execution of Monolithic Java Programs on Heterogeneous Collections of Commodity Workstations. Search on Bibsonomy CLUSTER The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Umut A. Acar, Guy E. Blelloch, Robert D. Blumofe The Data Locality of Work Stealing. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Ahmed Bouajjani, Tayssir Touili Extrapolating Tree Transformations. Search on Bibsonomy CAV The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Ronaldo Gonçalves, Philippe Olivier Alexandre Navaux Improving SMT Performance Scheduling Processes. Search on Bibsonomy PDP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF SMT architecture, performance evaluation, process scheduling
15Vijay Murthi, David Levine, Behrooz A. Shirazi, Jeff Marquis A Tool Based Methodology for Development of Automatically Scalable and Reusable Parallel Code. Search on Bibsonomy MASCOTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Erika Ábrahám-Mumm, Frank S. de Boer, Willem P. de Roever, Martin Steffen Verification for Java's Reentrant Multithreading Concept. Search on Bibsonomy FoSSaCS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Cormac Flanagan, Stephen N. Freund, Shaz Qadeer Thread-Modular Verification for Shared-Memory Programs. Search on Bibsonomy ESOP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Panit Watcharawitch, Simon W. Moore JMA: The Java-Multithreading Architecture for Embedded Processors. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Luís M. B. Lopes, Vasco Thudichum Vasconcelos, Fernando M. A. Silva Fine-Grained Multithreading with Process Calculi. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF multithreading, abstract-machine, Process-calculus
15Klaus Havelund, Michael R. Lowry, John Penix Formal Analysis of a Space-Craft Controller Using SPIN. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF space-craft software, model checking, temporal logic, Program verification, concurrent programs, program abstraction, model extraction
15Sven Lämmermann, Enn Tyugu, Vladimir Vlassov Concurrent Implementation of Structurally Synthesized Programs. Search on Bibsonomy PaCT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Stefanos Kaxiras, Girija J. Narlikar, Alan D. Berenbaum, Zhigang Hu Comparing power consumption of an SMT and a CMP DSP for mobile phone workloads. Search on Bibsonomy CASES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Yudong Sun, Cho-Li Wang A Distributed Object Model for Solving Irregularly Structured Problems on Cluster. Search on Bibsonomy CLUSTER The full citation details ... 2001 DBLP  DOI  BibTeX  RDF MOIDE, Irregularly structured problems, Distributed object, Heterogeneous cluster
15Brendan P. Mahony, Jin Song Dong Timed Communicating Object Z. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Software/system specification, real-time systems, formal methods, concurrency, CSP, object-oriented modeling, Z
15Luís M. B. Lopes, Fernando M. A. Silva, Vasco Thudichum Vasconcelos Fine Grained Multithreading with Process Calculi. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Multithreading, Abstract-Machine, Process-Calculus
15Ravi B. Konuru, Harini Srinivasan, Jong-Deok Choi Deterministic Replay of Distributed Java Applications. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Java tools, network, debugging, distributed, threads, deterministic replay
15Ernest Artiaga, Albert Serra, Marisa Gil Porting multithreading libraries to an exokernel system. Search on Bibsonomy ACM SIGOPS European Workshop The full citation details ... 2000 DBLP  DOI  BibTeX  RDF CThreads, ExOS, Xok, exokernel, multiprocessor, multithreading
15Patrick Crowley, Marc E. Fiuczynski, Jean-Loup Baer, Brian N. Bershad Characterizing processor architectures for programmable network interfaces. Search on Bibsonomy ICS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Haitham Akkary, Sébastien Hily The Case for Speculative Multithreading on SMT Processors. Search on Bibsonomy ISHPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Hung-Chang Hsiao, Chung-Ta King The Thread-Based Protocol Engines for CC-NUMA Multiprocessors. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Phillip B. Gibbons, Yossi Matias, Vijaya Ramachandran Can a Shared-Memory Model Serve as a Bridging Model for Parallel Computation? Search on Bibsonomy Theory Comput. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Mingdong Feng, Charles E. Leiserson Efficient Detection of Determinacy Races in Cilk Programs. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Lilia Yerosheva, Peter M. Kogge Prototyping Execution Models for HTMT Petaflop Machine in Java. Search on Bibsonomy CANPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Jianjun Zhao 0001 Slicing Concurrent Java Programs. Search on Bibsonomy IWPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF program comprehesion, Java, Concurrency, program slicing, dependence analysis
15Juan Carlos Díaz Martín, Isidro Irala Veloso, José Manuel Rodríguez García Building Tcl-Tk GUIs for HRT-HOOD systems. Search on Bibsonomy SIGAda The full citation details ... 1999 DBLP  DOI  BibTeX  RDF HRT-HOOD, Tcl-Tk, TAXIS, real time systems, user interfaces, Ada, Tcl
15Mikhail N. Dorojevets COOL Approach to Petaflops Computing (invited paper). Search on Bibsonomy PaCT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Mario Donato Marino, Geraldo Lino de Campos A Preliminary Speedup Comparison between Two Scope Consistency DSM Systems: JIAJIA and Nautilus. Search on Bibsonomy ICPP Workshops The full citation details ... 1999 DBLP  DOI  BibTeX  RDF distributed shared memory, DSM
15Robert D. Blumofe, Matteo Frigo, Christopher F. Joerg, Charles E. Leiserson, Keith H. Randall Dag-Consistent Distributed Shared Memory. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF dag consistency, cactus stack, multithreading, distributed shared memory, dynamic scheduling, memory model, page faults
15Sheng Li 0007, Shannon K. Kuntz, Jay B. Brockman, Peter M. Kogge Lightweight Chip Multi-Threading (LCMT): Maximizing Fine-Grained Parallelism On-Chip. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF unlimited multithreading, multicore processors, Multithreaded processors, irregular applications
15Huy T. Vo, João Luiz Dihl Comba, Berk Geveci, Cláudio T. Silva Streaming-Enabled Parallel Data Flow Framework in the Visualization ToolKit. Search on Bibsonomy Comput. Sci. Eng. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Parallel dataflow, visualization pipeline, streaming, multithreaded, VTK
15Stijn Eyerman, Lieven Eeckhout Per-Thread Cycle Accounting. Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF per-thread cycle accounting, multicore, system software, multithreaded architectures
15Andrew D. Hilton, Santosh Nagarakatte, Amir Roth iCFP: Tolerating All-Level Cache Misses in In-Order Processors. Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF in-order processors, data cache misses, multithreaded execution, latency tolerance
15Manoj Gupta 0001, Fermín Sánchez, Josep Llosa CSMT: Simultaneous Multithreading for Clustered VLIW Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF clustered VLIW architectures, ILP, simultaneous multithreading, multithreaded processors, VLIW architectures
15Patrick Anthony La Fratta, Peter M. Kogge Models for generating locality-tuned traveling threads for a hierarchical multi-level heterogeneous multicore. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF asymmetric multicore architectures, cache hierarchy design, locality-cognizant parallelization, migrant threads, multithreaded architectures
15Anastasia Ailamaki Database systems in the multicore era. Search on Bibsonomy SPAA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF deep memory hierarchies, multithreaded storage management, multicore systems
15Gregorio Quintana-Ortí, Enrique S. Quintana-Ortí, Robert A. van de Geijn, Field G. Van Zee, Ernie Chan Programming matrix algorithms-by-blocks for thread-level parallelism. Search on Bibsonomy ACM Trans. Math. Softw. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF high-performance, libraries, Linear algebra, multithreaded architectures
15Satoshi Amamiya, Makoto Amamiya, Ryuzo Hasegawa, Hiroshi Fujita 0002 A continuation-based noninterruptible multithreading processor architecture. Search on Bibsonomy J. Supercomput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Parallel processing, Multithreading, Processor architecture, Thread level parallelism, Multithreaded programming
15Nathan R. Tallent, John M. Mellor-Crummey Identifying Performance Bottlenecks in Work-Stealing Computations. Search on Bibsonomy Computer The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Call path profiling, Multithreaded programming models, Performance analysis, Multicore processors, HPCToolkit
15Terence Kelly, Yin Wang 0001, Stéphane Lafortune, Scott A. Mahlke Eliminating Concurrency Bugs with Control Engineering. Search on Bibsonomy Computer The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Multithreaded software, Discrete control theory, Software engineering, Parallel programming, Multicore processors, Control engineering
15Shivali Agarwal, Ankur Narang, R. K. Shyamasundar Distributed Scheduling of Parallel Hybrid Computations. Search on Bibsonomy ISAAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Multithreaded Computation, Scheduling, Algorithm, Work Stealing
15Min Shih, Yung-Feng Chiu, Ying-Chieh Chen, Chun-Fa Chang Real-Time Ray Tracing with CUDA. Search on Bibsonomy ICA3PP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Ray Tracing, CUDA, Programmable Graphics Hardware, Multithreaded Architectures, GPU Computing
15Aydin Buluç, Jeremy T. Fineman, Matteo Frigo, John R. Gilbert, Charles E. Leiserson Parallel sparse matrix-vector and matrix-transpose-vector multiplication using compressed sparse blocks. Search on Bibsonomy SPAA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF compressed sparse blocks, compressed sparse columns, compressed sparse rows, multithreaded algorithm, storage format, parallelism, sparse matrix, work, span, matrix transpose, matrix-vector multiplication
Displaying result #401 - #500 of 2157 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license