The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for nanotechnology with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1987-1995 (37) 1996 (40) 1998-2001 (17) 2002-2003 (36) 2004 (43) 2005 (48) 2006 (117) 2007 (72) 2008 (48) 2009 (42) 2010 (31) 2011 (93) 2012 (66) 2013 (78) 2014 (73) 2015 (71) 2016 (54) 2017 (62) 2018 (35) 2019 (48) 2020 (48) 2021 (50) 2022 (41) 2023 (56) 2024 (2)
Publication types (Num. hits)
article(338) book(5) incollection(8) inproceedings(929) phdthesis(11) proceedings(17)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 452 occurrences of 271 keywords

Results
Found 1308 publication records. Showing 1308 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17R. Cantora, Ernesto Sánchez 0001, Matteo Sonza Reorda, Giovanni Squillero, Emanuele Valea On the optimization of SBST test program compaction. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Alexander Schneider 0002, Paul Pop, Jan Madsen Volume management for fault-tolerant continuous-flow microfluidics. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Marco Restifo, Paolo Bernardi, Sergio de Luca, Alessandro Sansonetti On-line software-based self-test for ECC of embedded RAM memories. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Harshad Dhotre, Stephan Eggersglüß, Mehdi Dehbashi, Ulrike Pfannkuchen, Rolf Drechsler Machine learning based test pattern analysis for localizing critical power activity areas. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Mert Atamaner, Oguz Ergin, Marco Ottavi, Pedro Reviriego Detecting errors in instructions with bloom filters. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Satyadev Ahlawat, Darshit Vaghani, Virendra Singh Preventing scan-based side-channel attacks through key masking. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Vasileios Tenentes, Charles Leech, Graeme M. Bragg, Geoff V. Merrett, Bashir M. Al-Hashimi, Hussam Amrouch, Jörg Henkel, Shidhartha Das Hardware and software innovations in energy-efficient system-reliability monitoring. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Kedar Janardan Dhori, Hitesh Chawla, Ashish Kumar, Prashant Pandey, Promod Kumar, Lorenzo Ciampolini, Florian Cacho, Damien Croain High-yield design of high-density SRAM for low-voltage and low-leakage operations. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Michiya Kanda, Masaki Hashizume, Hiroyuki Yotsuyanagi, Shyue-Kung Lu A defective level monitor of open defects in 3D ICs with a comparator of offset cancellation type. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Jyothish Soman, Timothy M. Jones 0001 High performance fault tolerance through predictive instruction re-execution. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Jain-De Li, Sying-Jyan Wang, Katherine Shu-Min Li, Tsung-Yi Ho Design-for-testability for paper-based digital microfluidic biochips. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Mihalis Psarakis, Aitzan Sari A scrubbing scheduling approach for reliable FPGA multicore processors with real-time constraints. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Rishad A. Shafik, Qiaoyan Yu, S. Saqib Khursheed, Antonio Miele Welcome Message. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Lucas Weigel, Fernando Fernandes 0001, Philippe O. A. Navaux, Paolo Rech Kernel vulnerability factor and efficient hardening for histogram of oriented gradients. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Sebastian Huhn 0001, Stephan Eggersglüß, Rolf Drechsler Reconfigurable TAP controllers with embedded compression for large test data volume. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Bing Li 0005, Ulf Schlichtmann Reliability-aware synthesis and fault test of fully programmable valve arrays (FPVAs). Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17H. Junqi, T. Nandha Kumar, Haider Abbas, Fabrizio Lombardi Simulation-based evaluation of frequency upscaled operation of exact/approximate ripple carry adders. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Yu-Wei Lee, Nur A. Touba Improving test compression with multiple-polynomial LFSRs. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Haider Alrudainy, Rishad A. Shafik, Andrey Mokhov, Alex Yakovlev Lifetime reliability characterization of N/MEMS used in power gating of digital integrated circuits. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Shoba Gopalakrishnan, Virendra Singh REMORA: A hybrid low-cost soft-error reliable fault tolerant architecture. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Chiara Sandionigi, Maurício Altieri, Olivier Héron Early estimation of aging in the design flow of integrated circuits through a programmable hardware module. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Mauricio D. Gutierrez, Vasileios Tenentes, Tom J. Kazmierski, Daniele Rossi 0001 Low cost error monitoring for improved maintainability of IoT applications. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Yu Xie, Chen Yang 0003, Chuang-An Mao, He Chen, Yizhuang Xie A novel low-overhead fault tolerant parallel-pipelined FFT design. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Xin Fan 0002, Jan Stuijt, Tobias Gemmeke Towards SRAM leakage power minimization by aggressive standby voltage scaling - Experiments on 40nm test chips. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Prashant D. Joshi, Arunabha Sen, D. Frank Hsu, Said Hamdioui, Koen Bertels Region based containers - A new paradigm for the analysis of fault tolerant networks. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Alessandro Baldassari, Cristiana Bolchini, Antonio Miele A dynamic reliability management framework for heterogeneous multicore systems. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Nguyen T. H. Nguyen, Ediz Cetin, Oliver Diessel Scheduling voter checks to detect configuration memory errors in FPGA-based TMR systems. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Glenn H. Chapman, Parham Purbakht, Peter Le, Israel Koren, Zahava Koren Exploring soft errors (SEUs) with digital imager pixels ranging from 7 to 1.3 μm. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Gokulkrishnan Vadakkeveedu, V. Kamakoti 0001, Nitin Chandrachoodan, Seetal Potluri A scalable pseudo-exhaustive search for fault diagnosis in microfluidic biochips. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Lake Bu, Hien D. Nguyen, Michel A. Kinsy RASSS: A perfidy-aware protocol for designing trustworthy distributed systems. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Tiago A. O. Alves, Sandip Kundu, Leandro A. J. Marzulo, Felipe M. G. França A resilient scheduler for dataflow execution. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Amir Mahdi Hosseini Monazzah, Hamed Farbeh, Seyed Ghassem Miremadi Investigating the effects of process variations and system workloads on endurance of non-volatile caches. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Toshinori Hosokawa, Atsushi Hirai, Hiroshi Yamazaki, Masayuki Arai A dynamic test compaction method on low power test generation based on capture safe test vectors. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Andrea Fedi, Marco Ottavi, Gianluca Furano, Antimo Bruno, Roberto Senesi, Carla Andreani, Carlo Cazzaniga High-energy neutrons characterization of a safety critical computing system. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Leandro Santiago 0001, Vinay C. Patil, Charles B. Prado, Tiago A. O. Alves, Leandro A. J. Marzulo, Felipe M. G. França, Sandip Kundu Realizing strong PUF from weak PUF via neural computing. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Sina Boroumand, Hadi Parandeh-Afshar, Philip Brisk, Siamak Mohammadi CAL: Exploring cost, accuracy, and latency in approximate and speculative adder design. Search on Bibsonomy DFT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Hyo-Jick Choi, Carlo D. Montemagno Convergence of Nanotechnology and Biotechnology. Search on Bibsonomy Handbook of Science and Technology Convergence The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Jo-Won Lee, Moonkyung Mark Kim Nanotechnology-Neuroscience Convergence. Search on Bibsonomy Handbook of Science and Technology Convergence The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Priya Satalkar, Bernice Simone Elger, David M. Shaw Defining Nano, Nanotechnology and Nanomedicine: Why Should It Matter? Search on Bibsonomy Sci. Eng. Ethics The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Shannon L. Spruit, Gordon D. Hoople, David A. Rolfe Just a Cog in the Machine? The Individual Responsibility of Researchers in Nanotechnology is a Duty to Collectivize. Search on Bibsonomy Sci. Eng. Ethics The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Lotte Krabbenborg Creating Inquiry Between Technology Developers and Civil Society Actors: Learning from Experiences Around Nanotechnology. Search on Bibsonomy Sci. Eng. Ethics The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Elizabeth A. Corley, Youngjae Kim, Dietram A. Scheufele Scientists' Ethical Obligations and Social Responsibility for Nanotechnology Research. Search on Bibsonomy Sci. Eng. Ethics The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Yansong Gao, Damith Chinthana Ranasinghe, Said F. Al-Sarawi, Omid Kavehei, Derek Abbott Emerging Physical Unclonable Functions With Nanotechnology. Search on Bibsonomy IEEE Access The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Zaida Chinchilla-Rodríguez, Kevin Ocaña-Rosa, Benjamín Vargas-Quesada How to Combine Research Guarantor and Collaboration Patterns to Measure Scientific Performance of Countries in Scientific Fields: Nanoscience and Nanotechnology as a Case Study. Search on Bibsonomy Frontiers Res. Metrics Anal. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Arnim Wiek, Rider W. Foley, David H. Guston, Michael J. Bernstein Broken promises and breaking ground for responsible innovation - intervention research to transform business-as-usual in nanotechnology innovation. Search on Bibsonomy Technol. Anal. Strateg. Manag. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Vivek Kant, Catherine M. Burns Engaging nanotechnology: ethnography of lab-on-a-chip technology in small-scale fluidics research. Search on Bibsonomy Cogn. Technol. Work. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Fazel Sharifi, Atiyeh Panahi, Hojjat Sharifi, Keivan Navi, Nader Bagherzadeh, Himanshu Thapliyal Design of quaternary 4-2 and 5-2 compressors for nanotechnology. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Kasia Zalewska-Kurek Understanding researchers' strategic behaviour in knowledge production: a case of social science and nanotechnology researchers. Search on Bibsonomy J. Knowl. Manag. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Ebrahim Abiri, Abdolreza Darabi A novel design of low power and high read stability Ternary SRAM (T-SRAM), memory based on the modified Gate Diffusion Input (m-GDI) method in nanotechnology. Search on Bibsonomy Microelectron. J. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Mohammad Hossein Moaiyeri, Elham Taherkhani, Shaahin Angizi A Novel Efficient Reversible Full Adder-Subtractor in QCA Nanotechnology. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
17Hamid R. Darvish, Yasar Tonta Diffusion of nanotechnology knowledge in Turkey and its network structure. Search on Bibsonomy Scientometrics The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Maria Karaulova, Abdullah Gök, Oliver Shackleton, Philip Shapira Science system path-dependencies and their influences: nanotechnology research in Russia. Search on Bibsonomy Scientometrics The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Karmen Stopar, Damjana Drobne, Klemen Eler, Tomaz Bartol Citation analysis and mapping of nanoscience and nanotechnology: identifying the scope and interdisciplinarity of research. Search on Bibsonomy Scientometrics The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17 Nanotechnology: Chlorine atom arrays store data. Search on Bibsonomy Nat. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17 2016 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2016, Storrs, CT, USA, September 19-20, 2016 Search on Bibsonomy DFT The full citation details ... 2016 DBLP  BibTeX  RDF
17Tiannan Chen, Xiangyun Lei, Hakan Demir, Christopher J. Cramer, Laura Gagliardi, Stephen J. Guy MOF: creating an educational game on nanotechnology through simulation-driven optimization. Search on Bibsonomy MIG The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Alis Pataquiva-Mateus, Edgar Ruiz Dorantes Teaching Nanotechnology as a Framework of Social Inclusion, Empowerment, and Deep Learning. Search on Bibsonomy ICL (2) The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Frits Dannenberg Modelling and verification for DNA nanotechnology. Search on Bibsonomy 2016   RDF
17Ke Chen 0018, Fabrizio Lombardi, Jie Han 0001 Design and analysis of an approximate 2D convolver. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Anirudh Iyengar, Swaroop Ghosh, Nitin Rathi, Helia Naeimi Side channel attacks on STTRAM and low-overhead countermeasures. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Xiaolin Xu, Daniel E. Holcomb Reliable PUF design using failure patterns from time-controlled power gating. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Abdulaziz Eker, Oguz Ergin Error recovery through partial value similarity. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Glenn H. Chapman, Rahul Thomas, Rohan Thomas, Israel Koren, Zahava Koren Experimental study and analysis of soft and permanent errors in digital cameras. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Mojing Liu, Brett H. Meyer Bounding error detection latency in safety critical systems with enhanced Execution Fingerprinting. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Gianluca Furano, Stefano Di Mascio, Tomasz Szewczyk, Alessandra Menicucci, Luigi Campajola, Francesco Di Capua, Andrea Fabbri, Marco Ottavi A novel method for SEE validation of complex SoCs using Low-Energy Proton beams. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Adam Watkins, Spyros Tragoudas A Highly Robust Double Node Upset Tolerant latch. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Ahmed Ibrahim 0001, Hans G. Kerkhoff Efficient utilization of hierarchical iJTAG networks for interrupts management. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Vinay C. Patil, Arunkumar Vijayakumar, Sandip Kundu On meta-obfuscation of physical layouts to conceal design characteristics. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Filippo Giuliani, Marco Ottavi, Gian Carlo Cardarilli, Marco Re, Luca Di Nunzio, Rocco Fazzolari, Antimo Bruno, Francesco Zuliani Design and characterization of a high-safety hardware/software module for the acquisition of Eurobalise telegrams. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Luca Santinelli, Zhishan Guo, Laurent George 0001 Fault-aware sensitivity analysis for probabilistic real-time systems. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Ronak Salamat, Masoumeh Ebrahimi, Nader Bagherzadeh, Freek Verbeek CoBRA: Low cost compensation of TSV failures in 3D-NoC. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Hassan Ebrahimi, Alireza Rohani, Hans G. Kerkhoff Detecting intermittent resistive faults in digital CMOS circuits. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Zaid Al-bayati, Brett H. Meyer, Haibo Zeng 0001 Fault-tolerant scheduling of multicore mixed-criticality systems under permanent failures. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Alexander Schöll, Claus Braun, Hans-Joachim Wunderlich Applying efficient fault tolerance to enable the preconditioned conjugate gradient solver on approximate computing hardware. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Chao Chen, Jacopo Panerati, Giovanni Beltrame Effects of online fault detection mechanisms on Probabilistic Timing Analysis. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Juexiao Su, Ju-Yueh Lee, Chang Wu, Lei He In-place LUT polarity inVersion to mitigate soft errors for FPGAs. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Xiaotong Cui, Kaijie Wu 0001, Siddharth Garg, Ramesh Karri Can flexible, domain specific programmable logic prevent IP theft? Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Juman Alshraiedeh, Avinash Kodi An adaptive routing algorithm to improve lifetime reliability in NoCs architecture. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Siva Satyendra Sahoo, Bharadwaj Veeravalli, Akash Kumar 0001 Cross-layer fault-tolerant design of real-time systems. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Hananeh Aliee, Stefan Vitzethum, Michael Glaß, Jürgen Teich, Emanuele Borgonovo Guiding Genetic Algorithms using importance measures for reliable design of embedded systems. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Amir Charif, Nacer-Eddine Zergainoh, Michael Nicolaidis A new approach to deadlock-free fully adaptive routing for high-performance fault-tolerant NoCs. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Naghmeh Karimi, Ke Huang 0001 Prognosis of NBTI aging using a machine learning scheme. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Hardeep Chahal, Vasileios Tenentes, Daniele Rossi 0001, Bashir M. Al-Hashimi BTI aware thermal management for reliable DVFS designs. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Cristiana Bolchini, Matteo Carminati, Tulika Mitra, Thannirmalai Somu Muthukaruppan Combined on-line lifetime-energy optimization for asymmetric multicores. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Marcos T. Leipnitz, Eduardo Nunes de Souza, Gabriel L. Nazar Low cost resilient regular expression matching on FPGAs. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Omer Khan, Maria K. Michael, Antonio Miele, Qiaoyan Yu Foreword. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Hiroki Ueno, Kazuteru Namba Construction of a soft error (SEU) hardened Latch with high critical charge. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Xabier Iturbe, Balaji Venu, Emre Ozer 0001 Soft error vulnerability assessment of the real-time safety-related ARM Cortex-R5 CPU. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Riccardo Cantoro, Davide Piumatti, Paolo Bernardi, Sergio de Luca, Alessandro Sansonetti In-field functional test programs development flow for embedded FPUs. Search on Bibsonomy DFT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Koen Beumer Publics, Issues, and Nanotechnology in Indian News Media. Search on Bibsonomy J. Sci. Res. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Sujit Bhattacharya 0001 Nanotechnology and Development: What’s in it for Emerging Countries? Search on Bibsonomy J. Sci. Res. The full citation details ... 2015 DBLP  BibTeX  RDF
17Shan Jiang 0002, Qiang Gao, Hsinchun Chen, Mihail C. Roco The roles of sharing, transfer, and public funding in nanotechnology knowledge-diffusion networks. Search on Bibsonomy J. Assoc. Inf. Sci. Technol. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Jade Yu-Chieh Lo, Mark Thomas Kennedy Approval in Nanotechnology Patents: Micro and Macro Factors That Affect Reactions to Category Blending. Search on Bibsonomy Organ. Sci. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Leila Tahmooresnejad, Catherine Beaudry, Andrea Schiffauerova The role of public funding in nanotechnology scientific production: Where Canada stands in comparison to the United States. Search on Bibsonomy Scientometrics The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Xuan Liu 0004, Shan Jiang 0002, Hsinchun Chen, Catherine A. Larson, Mihail C. Roco Modeling knowledge diffusion in scientific innovation networks: an institutional comparison between China and US with illustration for nanotechnology. Search on Bibsonomy Scientometrics The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Michael S. Trachtengerts, Adilbek O. Erkimbaev, Vladimir Y. Zitserman, Georgii A. Kobzev Using a digital library instead of a traditional database: ABCD-based infrastructure for nanotechnology. Search on Bibsonomy Electron. Libr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Jin-Ho Lee, Byung-Keun Oh, Jeong Woo Choi Development of a HIV-1 Virus Detection System Based on Nanotechnology. Search on Bibsonomy Sensors The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Gregory L. Damhorst, Maurine Murtagh, William R. Rodriguez, Rashid Bashir Microfluidics and Nanotechnology for Detection of Global Infectious Diseases. Search on Bibsonomy Proc. IEEE The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Luca Gaetano Amarù, Pierre-Emmanuel Gaillardon, Subhasish Mitra, Giovanni De Micheli New Logic Synthesis as Nanotechnology Enabler. Search on Bibsonomy Proc. IEEE The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Jimmy G. Hester, Sangkil Kim, Jo Bito, Taoran Le, John Kimionis, Daniel L. Revier, Christy D. Saintsing, Wenjing Su, Bijan Tehrani, Anya Traille, Benjamin S. Cook, Manos M. Tentzeris Additively Manufactured Nanotechnology and Origami-Enabled Flexible Microwave Electronics. Search on Bibsonomy Proc. IEEE The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 1308 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license