|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 2136 occurrences of 1033 keywords
|
|
|
Results
Found 2354 publication records. Showing 2266 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
22 | Pen-Chung Yew, Per Stenström, Junjie Wu, Xiaoli Gong, Tao Li 0022 (eds.) |
Advanced Parallel Processing Technologies - 13th International Symposium, APPT 2019, Tianjin, China, August 15-16, 2019, Proceedings ![Search on Bibsonomy](Pics/bibsonomy.png) |
APPT ![Springer, 978-3-030-29610-0 The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
22 | Changheng Song, Wenwen Wang 0001, Pen-Chung Yew, Antonia Zhai, Weihua Zhang |
Unleashing the Power of Learning: An Enhanced Learning-Based Approach for Dynamic Binary Translation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
USENIX Annual Technical Conference ![In: 2019 USENIX Annual Technical Conference, USENIX ATC 2019, Renton, WA, USA, July 10-12, 2019, pp. 77-90, 2019, USENIX Association. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP BibTeX RDF |
|
22 | Pen-Jui Peng, Yan-Ting Chen, Sheng-Tsung Lai, Chao-Hsuan Chen, Hsiang-En Huang, Ted Shih |
A 112Gb/s PAM-4 Voltage-Mode Transmitter with 4-Tap Two-Step FFE and Automatic Phase Alignment Techniques in 40nm CMOS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSCC ![In: IEEE International Solid- State Circuits Conference, ISSCC 2019, San Francisco, CA, USA, February 17-21, 2019, pp. 124-126, 2019, IEEE, 978-1-5386-8531-0. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
22 | Kuang-Pen Chou, Mukesh Prasad, Di Wu 0050, Nabin Sharma, Dong-Lin Li, Yu-Feng Lin, Michael Blumenstein, Wen-Chieh Lin, Chin-Teng Lin |
Robust Feature-Based Automated Multi-View Human Action Recognition System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 6, pp. 15283-15296, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
22 | Lujie Zhong, Pen-Chung Yew, Wei Huo, Feng Li, Xiaobing Feng 0002, Zhaoqing Zhang |
RARE: An Efficient Static Fault Detection Framework for Definition-Use Faults in Large Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 6, pp. 10432-10444, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
22 | Zhe Wang 0017, Chenggang Wu 0002, Xiang Yuan, Zhenjiang Wang, Jianjun Li, Pen-Chung Yew, Jeff Huang 0001, Xiaobing Feng 0002, Yanyan Lan, Yunji Chen, Yuanming Lai, Yong Guan |
Using Local Clocks to Reproduce Concurrency Bugs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 44(11), pp. 1112-1128, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
22 | Ting Yang 0002, Feng Zhai, Jialin Liu, Meng Wang, Haibo Pen |
Self-organized cyber physical power system blockchain architecture and protocol. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Distributed Sens. Networks ![In: Int. J. Distributed Sens. Networks 14(10), 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
22 | Chao-Fu Hong, Mu-Hua Lin, Pen-Choug Sun, Hsiao-Fang Yang |
Framework of early adopters' incipient and innovative ideas and chance discovery. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Inf. Telecommun. ![In: J. Inf. Telecommun. 2(1), pp. 19-32, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
22 | Wenwen Wang 0001, Kangjie Lu, Pen-Chung Yew |
Check It Again: Detecting Lacking-Recheck Bugs in OS Kernels. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCS ![In: Proceedings of the 2018 ACM SIGSAC Conference on Computer and Communications Security, CCS 2018, Toronto, ON, Canada, October 15-19, 2018, pp. 1899-1913, 2018, ACM, 978-1-4503-5693-0. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
22 | Pen-Jui Peng, Yan-Ting Chen, Chao-Hsuan Chen, Sheng-Tsung Lai, Hsiang-En Huang, Ho-Hsuan Lu, Tsai-Chin Yu |
A 50-Gb/s Quarter-Rate Voltage-Mode Transmitter with Three-Tap FFE in 40-nm CMOS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESSCIRC ![In: 44th IEEE European Solid State Circuits Conference, ESSCIRC 2018, Dresden, Germany, September 3-6, 2018, pp. 174-177, 2018, IEEE, 978-1-5386-5404-0. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
22 | Chia-Ju Lu, Chiung-Chi Pen, Chiou-Shya Torng |
The Effect of Personal Moral Philosophy on Perceived Moral Intensity in Hotel Industry. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IMIS ![In: Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 12th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, IMIS-2018, Matsue, Japan, July 4-6, 2018, pp. 765-770, 2018, Springer, 978-3-319-93553-9. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
22 | Tzu-Yun Lo, Pei-Yin Wei, Chia-Heng Yen, Jiing-Feng Lirng, Muh-Hwa Yang, Pen-Yuan Chu, Shinn-Ying Ho |
Prediction of Metastasis in Head and Neck Cancer from Computed Tomography Images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRAI ![In: Proceedings of the 4th International Conference on Robotics and Artificial Intelligence, ICRAI 2018, Guangzhou, China, November 17 - 19, 2018., pp. 18-23, 2018, ACM, 978-1-4503-6584-0. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
22 | Wenwen Wang 0001, Stephen McCamant, Antonia Zhai, Pen-Chung Yew |
Enhancing Cross-ISA DBT Through Automatically Learned Translation Rules. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2018, Williamsburg, VA, USA, March 24-28, 2018, pp. 84-97, 2018, ACM, 978-1-4503-4911-6. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
22 | Wenwen Wang 0001, Jiacheng Wu, Xiaoli Gong, Tao Li 0022, Pen-Chung Yew |
Improving Dynamically-Generated Code Performance on Dynamic Binary Translators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VEE ![In: Proceedings of the 14th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments, VEE 2018, Williamsburg, VA, USA, March 25-25, 2018, pp. 17-30, 2018, ACM, 978-1-4503-5579-7. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
22 | Yuan Zhang 0002, Jun Zheng 0002, Pen-Shun Lu, Chen Sun |
Interference Graph Construction for Cellular D2D Communications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Veh. Technol. ![In: IEEE Trans. Veh. Technol. 66(4), pp. 3293-3305, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Xiao Chen 0005, Zaichen Zhang, Lili Zheng, Liang Wu 0001, Jian Dang, Pen-Shun Lu, Chen Sun |
Blind Interference Alignment in Two-Cell Z Interference MIMO Channel. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 5, pp. 10526-10532, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Ting Yang 0002, Zhiyong Huang 0003, Haibo Pen, Yajian Zhang |
Optimal Planning of Communication System of CPS for Distribution Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Sensors ![In: J. Sensors 2017, pp. 9303989:1-9303989:10, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Weihua Zhang, Xiaofeng Ji, Yunping Lu, Haojun Wang, Haibo Chen 0001, Pen-Chung Yew |
Prophet: A Parallel Instruction-Oriented Many-Core Simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 28(10), pp. 2939-2952, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Weihua Zhang, Xiaofeng Ji, Bo Song, Shiqiang Yu, Haibo Chen 0001, Tao Li 0006, Pen-Chung Yew, Wenyun Zhao |
VarCatcher: A Framework for Tackling Performance Variability of Parallel Workloads on Multi-Core. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 28(4), pp. 1215-1228, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Ting Yang 0002, Haibo Pen, Wei Li 0058, Dong Yuan, Albert Y. Zomaya |
An Energy-Efficient Storage Strategy for Cloud Datacenters Based on Variable K-Coverage of a Hypergraph. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 28(12), pp. 3344-3355, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | W. Lee, Sheng-Pen Wang, W.-C. Chen |
Forward and backward stocking policies for a two-level supply chain with consignment stock agreement and stock-dependent demand. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Eur. J. Oper. Res. ![In: Eur. J. Oper. Res. 256(3), pp. 830-840, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Ting Yang 0002, Haibo Pen, Wei Li 0058, Albert Y. Zomaya |
An energy-efficient virtual machine placement and route scheduling scheme in data center networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Future Gener. Comput. Syst. ![In: Future Gener. Comput. Syst. 77, pp. 1-11, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Tsung-Yi Chen, Yuh-Min Chen, Pen-Yuan Chen, Chia-Jou Lin |
A two-dimensional knowledge authorization evaluation method enabling inter-enterprise knowledge sharing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Ind. Eng. ![In: Comput. Ind. Eng. 108, pp. 124-135, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Wenwen Wang 0001, Pen-Chung Yew, Antonia Zhai, Stephen McCamant, Youfeng Wu, Jayaram Bobba |
Enabling Cross-ISA Offloading for COTS Binaries. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MobiSys ![In: Proceedings of the 15th Annual International Conference on Mobile Systems, Applications, and Services, MobiSys'17, Niagara Falls, NY, USA, June 19-23, 2017, pp. 319-331, 2017, ACM, 978-1-4503-4928-4. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Xiao Chen 0005, Zaichen Zhang, Liang Wu 0001, Jian Dang, Pen-Shun Lu, Chen Sun |
Robust beam management scheme based on simple 2-D DOA estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WCSP ![In: 9th International Conference on Wireless Communications and Signal Processing, WCSP 2017, Nanjing, China, October 11-13, 2017, pp. 1-6, 2017, IEEE, 978-1-5386-2062-5. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Pen-Choug Sun, Chao-Fu Hong, Tsu-Feng Kuo, Rahat Iqbal |
Chance Discovery in a Group-Trading Model ─ Creating an Innovative Tour Package with Freshwater Fish Farms at Yilan. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACIIDS (2) ![In: Intelligent Information and Database Systems - 9th Asian Conference, ACIIDS 2017, Kanazawa, Japan, April 3-5, 2017, Proceedings, Part II, pp. 157-169, 2017, 978-3-319-54429-8. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Pen-Jui Peng, Jeng-Feng Li, Li-Yang Chen, Jri Lee |
6.1 A 56Gb/s PAM-4/NRZ transceiver in 40nm CMOS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSCC ![In: 2017 IEEE International Solid-State Circuits Conference, ISSCC 2017, San Francisco, CA, USA, February 5-9, 2017, pp. 110-111, 2017, IEEE, 978-1-5090-3758-2. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Gang Shi, Yuanke Gan, Shu Shang, Shengyuan Wang, Yuan Dong, Pen-Chung Yew |
A formally verified sequentializer for lustre-like concurrent synchronous data-flow programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE (Companion Volume) ![In: Proceedings of the 39th International Conference on Software Engineering, ICSE 2017, Buenos Aires, Argentina, May 20-28, 2017 - Companion Volume, pp. 109-111, 2017, IEEE Computer Society, 978-1-5386-1589-8. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Kuang-Pen Chou, Dong-Lin Li, Mukesh Prasad, Chin-Teng Lin, Wen-Chieh Lin |
A method to enhance the deep learning in an aerial image. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPACS ![In: 2017 International Symposium on Intelligent Signal Processing and Communication Systems, ISPACS 2017, Xiamen, China, November 6-9, 2017, pp. 724-728, 2017, IEEE, 978-1-5386-2159-2. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Kuang-Pen Chou, Mukesh Prasad, Deepak Puthal, Ping-Hung Chen, Dinesh Kumar Vishwakarma, Suresh Sundaram 0002, Chin-Teng Lin, Wen-Chieh Lin |
Fast Deformable Model for Pedestrian Detection with Haar-like features. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SSCI ![In: 2017 IEEE Symposium Series on Computational Intelligence, SSCI 2017, Honolulu, HI, USA, November 27 - Dec. 1, 2017, pp. 1-8, 2017, IEEE, 978-1-5386-2726-6. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Kuang-Pen Chou, Mukesh Prasad, Deepak Gupta 0004, Sharmi Sankar, Ting-Wei Xu, Suresh Sundaram 0002, Chin-Teng Lin, Wen-Chieh Lin |
Block-based feature extraction model for early fire detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SSCI ![In: 2017 IEEE Symposium Series on Computational Intelligence, SSCI 2017, Honolulu, HI, USA, November 27 - Dec. 1, 2017, pp. 1-8, 2017, IEEE, 978-1-5386-2726-6. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Kuang-Pen Chou, Mukesh Prasad, Dong-Lin Li, Neha Bharill, Yu-Feng Lin, Farookh Khadeer Hussain, Chin-Teng Lin, Wen-Chieh Lin |
Automatic Multi-view Action Recognition with Robust Features. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICONIP (3) ![In: Neural Information Processing - 24th International Conference, ICONIP 2017, Guangzhou, China, November 14-18, 2017, Proceedings, Part III, pp. 554-563, 2017, Springer, 978-3-319-70089-2. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Kuang-Pen Chou, Dong-Lin Li, Mukesh Prasad, Mahardhika Pratama, Sheng-Yao Su, Haiyan Lu, Chin-Teng Lin, Wen-Chieh Lin |
Robust Facial Alignment for Face Recognition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICONIP (3) ![In: Neural Information Processing - 24th International Conference, ICONIP 2017, Guangzhou, China, November 14-18, 2017, Proceedings, Part III, pp. 497-504, 2017, Springer, 978-3-319-70089-2. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Zhen Zhou, Runtong Zhang, Yan Pen, Yihong Rong, Jie Wang 0019 |
Fuzzy valuation-based system for Bayesian decision problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Intell. Fuzzy Syst. ![In: J. Intell. Fuzzy Syst. 30(4), pp. 2319-2329, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Ting Yang 0002, Haibo Pen, Zhaoxia Wang 0001, Che Sau Chang |
Feature Knowledge Based Fault Detection of Induction Motors Through the Analysis of Stator Current Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Instrum. Meas. ![In: IEEE Trans. Instrum. Meas. 65(3), pp. 549-558, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Sanyam Mehta, Pen-Chung Yew |
Variable Liberalization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 13(3), pp. 23:1-23:25, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Shanxiong Chen, Maoling Pen, Hailing Xiong, Yu Xianping |
SVM Intrusion Detection Model Based on Compressed Sampling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electr. Comput. Eng. ![In: J. Electr. Comput. Eng. 2016, pp. 3095971:1-3095971:6, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Shang-Lin Wu, Yu-Ting Liu, Kuang-Pen Chou, Yang-Yin Lin, Jie Lu 0001, Guangquan Zhang 0001, Chun-Hsiang Chuang, Wen-Chieh Lin, Chin-Teng Lin |
A motor imagery based brain-computer interface system via swarm-optimized fuzzy integral and its application. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FUZZ-IEEE ![In: 2016 IEEE International Conference on Fuzzy Systems, FUZZ-IEEE 2016, Vancouver, BC, Canada, July 24-29, 2016, pp. 2495-2500, 2016, IEEE, 978-1-5090-0626-7. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Yu-Ting Liu, Shang-Lin Wu, Kuang-Pen Chou, Yang-Yin Lin, Jie Lu 0001, Guangquan Zhang 0001, Wen-Chieh Lin, Chin-Teng Lin |
Driving fatigue prediction with pre-event electroencephalography (EEG) via a recurrent fuzzy neural network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FUZZ-IEEE ![In: 2016 IEEE International Conference on Fuzzy Systems, FUZZ-IEEE 2016, Vancouver, BC, Canada, July 24-29, 2016, pp. 2488-2494, 2016, IEEE, 978-1-5090-0626-7. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Pen-Ning Yu, Shokofeh A. Naiini, Christi N. Heck, Charles Yu Liu, Dong Song, Theodore W. Berger |
A sparse Laguerre-Volterra autoregressive model for seizure prediction in temporal lobe epilepsy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMBC ![In: 38th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBC 2016, Orlando, FL, USA, August 16-20, 2016, pp. 1664-1667, 2016, IEEE, 978-1-4577-0220-4. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Songxi Liu, Qinghua Wang, Han Wu, Yuanliang Fan, Hui Peng, Gonglin Zhang, Haibo Pen |
Traffic scheduling with sustainable Cyber Physical Systems applying in smart grid. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IGSC ![In: Seventh International Green and Sustainable Computing Conference, IGSC 2016, Hangzhou, China, November 7-9, 2016, pp. 1-6, 2016, IEEE Computer Society, 978-1-5090-5117-5. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Wenwen Wang 0001, Pen-Chung Yew, Antonia Zhai, Stephen McCamant |
A General Persistent Code Caching Framework for Dynamic Binary Translation (DBT). ![Search on Bibsonomy](Pics/bibsonomy.png) |
USENIX Annual Technical Conference ![In: 2016 USENIX Annual Technical Conference, USENIX ATC 2016, Denver, CO, USA, June 22-24, 2016., pp. 591-603, 2016, USENIX Association. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP BibTeX RDF |
|
22 | Sanyam Mehta, Rajat Garg, Nishad Trivedi, Pen-Chung Yew |
TurboTiling: Leveraging Prefetching to Boost Performance of Tiled Codes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 2016 International Conference on Supercomputing, ICS 2016, Istanbul, Turkey, June 1-3, 2016, pp. 38:1-38:12, 2016, ACM, 978-1-4503-4361-9. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Ray-Wen Hsu, Hung-Lun Liao, Pen-Cheng Wang |
Removal of aqueous metals from wastewater using porous functional heterocyclic aromatic amines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NEMS ![In: 11th IEEE Annual International Conference on Nano/Micro Engineered and Molecular Systems, NEMS 2016, Sendai, Japan, April 17-20, 2016, pp. 414-418, 2016, IEEE, 978-1-5090-1947-2. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Hung-Lun Liao, Pen-Cheng Wang |
Improving film characteristics of pyrene derivatized carbon nanotubes by 3-aminopropyltri-ethoxysilane and N, N′-Diisopropylcarbodiimide crosslinker. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NEMS ![In: 11th IEEE Annual International Conference on Nano/Micro Engineered and Molecular Systems, NEMS 2016, Sendai, Japan, April 17-20, 2016, pp. 241-244, 2016, IEEE, 978-1-5090-1947-2. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Héctor Hugo Pérez Garza, Diederik Morsink, Jeff Xu, Mariya Sholkina, Yevheniy Pivak, Merijn Pen, Sander van Weperen, Qiang Xu |
The "Climate" system: Nano-Reactor for in-situ analysis of solid-gas interactions inside the TEM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NEMS ![In: 11th IEEE Annual International Conference on Nano/Micro Engineered and Molecular Systems, NEMS 2016, Sendai, Japan, April 17-20, 2016, pp. 85-90, 2016, IEEE, 978-1-5090-1947-2. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Wei Cheng, Pen Chen, Xiaolin Liu, Ronghuai Huang |
Designing Authentic Learning to Meet the Challenges of Digital Natives in First-Year Program: An Action Research in Chinese University. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICALT ![In: 16th IEEE International Conference on Advanced Learning Technologies, ICALT 2016, Austin, TX, USA, July 25-28, 2016, pp. 453-454, 2016, IEEE Computer Society, 978-1-4673-9041-5. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Yi-Wei Ma, Jiann-Liang Chen, Yao-Hong Tsai, Pen-Chan Chou, Shyue-Kung Lu, Sy-Yen Kuo |
Integrated Heterogeneous Infrastructure for Indoor Positioning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SpaCCS Workshops ![In: Security, Privacy and Anonymity in Computation, Communication and Storage - SpaCCS 2016 International Workshops, TrustData, TSP, NOPE, DependSys, BigDataSPT, and WCSSC, Zhangjiajie, China, November 16-18, 2016, Proceedings, pp. 249-256, 2016, 978-3-319-49144-8. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Jri Lee, Ping-Chuan Chiang, Pen-Jui Peng, Li-Yang Chen, Chih-Chi Weng |
Design of 56 Gb/s NRZ and PAM4 SerDes Transceivers in CMOS Technologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Solid State Circuits ![In: IEEE J. Solid State Circuits 50(9), pp. 2061-2073, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
22 | Pen-Jui Peng, Pang-Ning Chen, Chiro Kao, Yu-Lun Chen, Jri Lee |
A 94 GHz 3D Image Radar Engine With 4TX/4RX Beamforming Scan Technique in 65 nm CMOS Technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Solid State Circuits ![In: IEEE J. Solid State Circuits 50(3), pp. 656-668, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
22 | Pen-Shun Lu, Xiaobo Zhou 0003, Tad Matsumoto |
Outage Probabilities of Orthogonal Multiple-Access Relaying Techniques With Imperfect Source-Relay Links. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Wirel. Commun. ![In: IEEE Trans. Wirel. Commun. 14(4), pp. 2269-2280, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
22 | Fang Lv, Lei Liu 0030, Huimin Cui, Lei Wang 0004, Ying Liu, Xiaobing Feng 0002, Pen-Chung Yew |
WiseThrottling: a new asynchronous task scheduler for mitigating I/O bottleneck in large-scale datacenter servers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 71(8), pp. 3054-3093, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
22 | San-Kuei Huang, Pen-Jen Wang, Wen-Fuh Tseng, Fei-Kai Syu, Miaw-Chwen Lee, Ru-Liang Shih, Mao-Ting Sheen, Michael S. Chen |
NHI-PharmaCloud in Taiwan - A preliminary evaluation using the RE-AIM framework and lessons learned. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Medical Informatics ![In: Int. J. Medical Informatics 84(10), pp. 817-825, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
22 | Chien-Pen Chuang, Min Jou, Yen-Ting Lin, Cheng-Tien Lu |
Development of a situated spectrum analyzer learning platform for enhancing student technical skills. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Interact. Learn. Environ. ![In: Interact. Learn. Environ. 23(3), pp. 373-384, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
22 | Chenggang Wu 0002, Jin Li, Di Xu, Pen-Chung Yew, Jianjun Li, Zhenjiang Wang |
FPS: A Fair-Progress Process Scheduling Policy on Shared-Memory Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 26(2), pp. 444-454, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
22 | Anup Holey, Vineeth Mekkat, Pen-Chung Yew, Antonia Zhai |
Performance-Energy Considerations for Shared Cache Management in a Heterogeneous Multicore Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 12(1), pp. 3:1-3:29, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
22 | Tsung-Pen Chou, Wan-Ru Wang, Tian Sheuan Chang |
Low complexity real time BCI for stroke rehabilitation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSP ![In: 2015 IEEE International Conference on Digital Signal Processing, DSP 2015, Singapore, July 21-24, 2015, pp. 809-812, 2015, IEEE, 978-1-4799-8058-1. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
22 | Sanyam Mehta, Pen-Chung Yew |
Improving compiler scalability: optimizing large programs at small price. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 36th ACM SIGPLAN Conference on Programming Language Design and Implementation, Portland, OR, USA, June 15-17, 2015, pp. 143-152, 2015, ACM, 978-1-4503-3468-6. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
22 | Andre Recnik, Kevin Bandura, Nolan Denman, Adam D. Hincks, Gary Hinshaw, Peter Klages, Ue-Li Pen, Keith Vanderlinde |
An efficient real-time data pipeline for the CHIME Pathfinder radio telescope X-engine. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 26th IEEE International Conference on Application-specific Systems, Architectures and Processors, ASAP 2015, Toronto, ON, Canada, July 27-29, 2015, pp. 57-61, 2015, IEEE Computer Society, 978-1-4799-1925-3. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
22 | Chia-Jung Chang, Yin-Chi Peng, Chien-Chih Chen, Tien-Fu Chen, Pen-Chung Yew |
Adaptive granularity and coordinated management for timely prefetching in multi-core systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI-DAT ![In: VLSI Design, Automation and Test, VLSI-DAT 2015, Hsinchu, Taiwan, April 27-29, 2015, pp. 1-4, 2015, IEEE, 978-1-4799-6275-4. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
22 | Xiang Yuan, Chenggang Wu 0002, Zhenjiang Wang, Jianjun Li, Pen-Chung Yew, Jeff Huang 0001, Xiaobing Feng 0002, Yanyan Lan, Yunji Chen, Yong Guan |
ReCBuLC: Reproducing Concurrency Bugs Using Local Clocks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE (1) ![In: 37th IEEE/ACM International Conference on Software Engineering, ICSE 2015, Florence, Italy, May 16-24, 2015, Volume 1, pp. 824-834, 2015, IEEE Computer Society, 978-1-4799-1934-5. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
22 | Chih-Hung Chou, Ta-Wen Kuan, Jhing-Fa Wang, An-Chao Tsai, Pen-Yuan Yo |
A Statistical Out-of-Speaker Detection Approach for Smart Home Voice-Control Scenario of Protective Warming Care on FPGA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASE BD&SI ![In: Proceedings of the ASE BigData & SocialInformatics 2015, ASE BD&SI 2015, Kaohsiung, Taiwan, October 7-9, 2015, pp. 57:1-57:4, 2015, ACM, 978-1-4503-3735-9. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
22 | Li-Yang Chen, Pen-Jui Peng, Chiro Kao, Yu-Lun Chen, Jri Lee |
CW/FMCW/pulse radar engines for 24/26GHz multi-standard applications in 65nm CMOS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
A-SSCC ![In: IEEE Asian Solid-State Circuits Conference, A-SSCC 2015, Xia'men, China, November 9-11, 2015, pp. 1-4, 2015, IEEE, 978-1-4673-7191-9. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
22 | Pen-Shun Lu |
Decoding and lossy forwarding based multiple access relaying. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2015 |
RDF |
|
22 | Pen-Shun Lu, Xiaobo Zhou 0003, Khoirul Anwar, Tad Matsumoto |
Joint Adaptive Network-Channel Coding for Energy-Efficient Multiple-Access Relaying. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Veh. Technol. ![In: IEEE Trans. Veh. Technol. 63(5), pp. 2298-2305, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Xiaobo Zhou 0003, Pen-Shun Lu, Khoirul Anwar, Tad Matsumoto |
Correlated Sources Transmission in Orthogonal Multiple Access Relay Channel: Theoretical Analysis and Performance Evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Wirel. Commun. ![In: IEEE Trans. Wirel. Commun. 13(3), pp. 1424-1435, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Fang Lu, Huimin Cui, Lei Wang 0004, Lei Liu 0030, Chenggang Wu 0002, Xiaobing Feng 0002, Pen-Chung Yew |
Dynamic I/O-Aware Scheduling for Batch-Mode Applications on Chip Multiprocessor Systems of Cluster Platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 29(1), pp. 21-37, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Arthur Gilly, Mathilde Etcheverry, Mohammed-Amin Madoui, Julie Guy, Leandro Quadrana, Adriana Alberti, Antoine Martin, Tony Heitkam, Stefan Engelen, Karine Labadie, Jeremie Le Pen, Patrick Wincker, Vincent Colot, Jean-Marc Aury |
TE-Tracker: systematic identification of transposition events through whole-genome resequencing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BMC Bioinform. ![In: BMC Bioinform. 15, pp. 377, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Ding-Yong Hong, Jan-Jan Wu, Pen-Chung Yew, Wei-Chung Hsu, Chun-Chen Hsu, Pangfeng Liu, Chien-Min Wang, Yeh-Ching Chung |
Efficient and Retargetable Dynamic Binary Translation on Multicores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 25(3), pp. 622-632, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Zhenman Fang, Sanyam Mehta, Pen-Chung Yew, Antonia Zhai, James B. S. G. Greensky, Gautham Beeraka, Binyu Zang |
Measuring Microarchitectural Details of Multi- and Many-Core Memory Systems through Microbenchmarking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 11(4), pp. 55:1-55:26, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Erl-Huei Lu, Tso-Cho Chen, Pen-Yao Lu |
A New Method for Evaluating Error Magnitudes of Reed-Solomon Codes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Commun. Lett. ![In: IEEE Commun. Lett. 18(2), pp. 340-343, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Pen-Yao Lu, Erl-Huei Lu, Tso-Cho Chen |
An Efficient Hybrid Decoder for Block Turbo Codes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Commun. Lett. ![In: IEEE Commun. Lett. 18(12), pp. 2077-2080, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Kuang-Pen Chou, Mukesh Prasad, Yang-Yin Lin, Sudhanshu Joshi, Chin-Teng Lin, Jyh-Yeong Chang |
Takagi-Sugeno-Kang type collaborative fuzzy rule based system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIDM ![In: 2014 IEEE Symposium on Computational Intelligence and Data Mining, CIDM 2014, Orlando, FL, USA, December 9-12, 2014, pp. 315-320, 2014, IEEE, 978-1-4799-4519-1. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Min-Chi Hsiao, Pen-Ning Yu, Dong Song, Charles Yu Liu, Christi N. Heck, David E. Millett, Theodore W. Berger |
A testbed to explore the optimal electrical stimulation parameters for suppressing inter-ictal spikes in human hippocampal slices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMBC ![In: 36th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBC 2014, Chicago, IL, USA, August 26-30, 2014, pp. 5792-5795, 2014, IEEE. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Pen-Ning Yu, Min-Chi Hsiao, Dong Song, Charles Yu Liu, Christi N. Heck, David E. Millett, Theodore W. Berger |
Unstable periodic orbits in human epileptic hippocampal slices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMBC ![In: 36th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBC 2014, Chicago, IL, USA, August 26-30, 2014, pp. 5800-5803, 2014, IEEE. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Mukesh Prasad, Kuang-Pen Chou, Amit Saxena 0001, Omprakash Kaiwartya, Dong-Lin Li, Chin-Teng Lin |
Collaborative fuzzy rule learning for Mamdani type fuzzy inference system with mapping of cluster centers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CICA ![In: 2014 IEEE Symposium on Computational Intelligence in Control and Automation, CICA 2014, Orlando, FL, USA, December 9-12, 2014, pp. 15-20, 2014, IEEE, 978-1-4799-4531-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Jagan Jayaraj, Pei-Hung Lin, Paul R. Woodward, Pen-Chung Yew |
CFD Builder: A Library Builder for Computational Fluid Dynamics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS Workshops ![In: 2014 IEEE International Parallel & Distributed Processing Symposium Workshops, Phoenix, AZ, USA, May 19-23, 2014, pp. 1029-1038, 2014, IEEE Computer Society, 978-0-7695-5208-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Sanyam Mehta, Zhenman Fang, Antonia Zhai, Pen-Chung Yew |
Multi-stage coordinated prefetching for present-day processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: 2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014, pp. 73-82, 2014, ACM, 978-1-4503-2642-1. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Tuhin Subhra Santra, C.-W. Lee, Srabani Kar, Jayant Borana, Pen-Cheng Wang, Fan-Gang Tseng |
Nanolocalized single cell membrane nanoelectroporation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NEMS ![In: 9th IEEE International Conference on Nano/Micro Engineered and Molecular Systems, NEMS 2014, Waikiki Beach, HI, USA, April 13-16, 2014, pp. 285-288, 2014, IEEE, 978-1-4799-4726-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Pen-Choug Sun, Rahat Iqbal, Shu-Huei Liu |
A Fees System of an Innovative Group-Trading Model on the Internet. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACIIDS (2) ![In: Intelligent Information and Database Systems - 6th Asian Conference, ACIIDS 2014, Bangkok, Thailand, April 7-9, 2014, Proceedings, Part II, pp. 454-464, 2014, Springer, 978-3-319-05457-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Yu-Lun Chen, Chiro Kao, Pen-Jui Peng, Jri Lee |
A 94GHz duobinary keying wireless transceiver in 65nm CMOS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSIC ![In: Symposium on VLSI Circuits, VLSIC 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014, pp. 1-2, 2014, IEEE, 978-1-4799-3327-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Wenwen Wang 0001, Zhenjiang Wang, Chenggang Wu 0002, Pen-Chung Yew, Xipeng Shen, Xiang Yuan, Jianjun Li, Xiaobing Feng 0002, Yong Guan |
Localization of concurrency bugs using shared memory access pairs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASE ![In: ACM/IEEE International Conference on Automated Software Engineering, ASE '14, Vasteras, Sweden - September 15 - 19, 2014, pp. 611-622, 2014, ACM, 978-1-4503-3013-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Pen-Choug Sun |
An Internet Core-Based Group-Trading Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEA/AIE (2) ![In: Modern Advances in Applied Intelligence - 27th International Conference on Industrial Engineering and Other Applications of Applied Intelligent Systems, IEA/AIE 2014, Kaohsiung, Taiwan, June 3-6, 2014, Proceedings, Part II, pp. 476-486, 2014, Springer, 978-3-319-07466-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Sanyam Mehta, Pei-Hung Lin, Pen-Chung Yew |
Revisiting loop fusion in the polyhedral framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPoPP '14, Orlando, FL, USA, February 15-19, 2014, pp. 233-246, 2014, ACM, 978-1-4503-2656-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Wenwen Wang 0001, Chenggang Wu 0002, Pen-Chung Yew, Xiang Yuan, Zhenjiang Wang, Jianjun Li, Xiaobing Feng 0002 |
Concurrency bug localization using shared memory access pairs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPoPP '14, Orlando, FL, USA, February 15-19, 2014, pp. 375-376, 2014, ACM, 978-1-4503-2656-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Chien-Chih Chen, Yin-Chi Peng, Cheng-Fen Chen, Wei-Shan Wu, Qinghao Min, Pen-Chung Yew, Weihua Zhang, Tien-Fu Chen |
DAPs: Dynamic Adjustment and Partial Sampling for Multithreaded/Multicore Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: The 51st Annual Design Automation Conference 2014, DAC '14, San Francisco, CA, USA, June 1-5, 2014, pp. 129:1-129:6, 2014, ACM, 978-1-4503-2730-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Chao-Rui Chang, Jan-Jan Wu, Wei-Chung Hsu, Pangfeng Liu, Pen-Chung Yew |
Efficient memory virtualization for Cross-ISA system mode emulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VEE ![In: 10th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments, VEE '14, Salt Lake City, UT, USA, March 01 - 02, 2014, pp. 117-128, 2014, ACM, 978-1-4503-2764-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Yi-Hong Lyu, Ding-Yong Hong, Tai-Yi Wu, Jan-Jan Wu, Wei-Chung Hsu, Pangfeng Liu, Pen-Chung Yew |
DBILL: an efficient and retargetable dynamic binary instrumentation framework using llvm backend. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VEE ![In: 10th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments, VEE '14, Salt Lake City, UT, USA, March 01 - 02, 2014, pp. 141-152, 2014, ACM, 978-1-4503-2764-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Chi-Huang Lu, Pen-Yu Liao, Yuan-Hai Charng, Chi-Ming Liu, Jheng-Yu Guo |
Multivariable self-tuning PID controller based on wavelet fuzzy neural networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICMLC ![In: 2014 International Conference on Machine Learning and Cybernetics, Lanzhou, China, July 13-16, 2014, pp. 755-759, 2014, IEEE, 978-1-4799-4216-9. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Pen-Cheng Wang, Li-Hung Liu, Desalegn Alemu Mengistie, Kuan-Hsun Li, Bor-Jiunn Wen, Tzong-Shi Liu, Chih-Wei Chu |
Transparent electrodes based on conducting polymers for display applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Displays ![In: Displays 34(4), pp. 301-314, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
22 | Pen-Cheng Wang |
Innovative progress in materials for display technologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Displays ![In: Displays 34(4), pp. 292, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
22 | Lin Gao 0002, Lian Li 0002, Jingling Xue, Pen-Chung Yew |
SEED: A Statically Greedy and Dynamically Adaptive Approach for Speculative Loop Execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 62(5), pp. 1004-1016, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
22 | Sanyam Mehta, Gautham Beeraka, Pen-Chung Yew |
Tile size selection revisited. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 10(4), pp. 35:1-35:27, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
22 | Valtteri Tervo, Tad Matsumoto, Pen-Shun Lu |
Distributed Joint Source-Channel Coding for Correlated Sources Using Non-systematic Repeat-Accumulate Based Codes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Wirel. Pers. Commun. ![In: Wirel. Pers. Commun. 69(1), pp. 387-401, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
22 | YaJun Du, QiangQiang Pen, ZhaoQiong Gao |
A topic-specific crawling strategy based on semantics similarity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Data Knowl. Eng. ![In: Data Knowl. Eng. 88, pp. 75-93, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
22 | Vineeth Mekkat, Anup Holey, Pen-Chung Yew, Antonia Zhai |
Managing shared last-level cache in a heterogeneous multicore processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques, Edinburgh, United Kingdom, September 7-11, 2013, pp. 225-234, 2013, IEEE Computer Society, 978-1-4799-1018-2. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
22 | Jurren Pen, Wouter Caarls, Martijn Wisse, Robert Babuska |
Evolutionary co-optimization of control and system parameters for a resonating robot arm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: 2013 IEEE International Conference on Robotics and Automation, Karlsruhe, Germany, May 6-10, 2013, pp. 4195-4202, 2013, IEEE, 978-1-4673-5641-1. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
22 | QiZhong Lin, Sheena Liu, Shyam Sundar Parajuly, Yinhui Deng, Lilla Böröczky, Sainan Fu, Ying Wu, Yulan Pen |
Ultrasound lesion segmentation using clinical knowledge-driven constrained level set. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMBC ![In: 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBC 2013, Osaka, Japan, July 3-7, 2013, pp. 6067-6070, 2013, IEEE. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
22 | Shuo-Hung Chen, Hsiao-Mei Lin, Kuo-Yi Chen, Yuan-Hao Chang 0001, Pen-Chung Yew, Chien-Chung Ho |
A systematic methodology for OS benchmark characterization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RACS ![In: Research in Adaptive and Convergent Systems, RACS'13, Montreal, QC, Canada, October 1-4, 2013, pp. 404-409, 2013, ACM, 978-1-4503-2348-2. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
22 | Xiang Yuan, Zhenjiang Wang, Chenggang Wu 0002, Pen-Chung Yew, Wenwen Wang 0001, Jianjun Li, Di Xu |
Synchronization Identification through On-the-Fly Test. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2013 Parallel Processing - 19th International Conference, Aachen, Germany, August 26-30, 2013. Proceedings, pp. 4-15, 2013, Springer, 978-3-642-40046-9. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
Displaying result #401 - #500 of 2266 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ >>] |
|