|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1652 occurrences of 756 keywords
|
|
|
Results
Found 2122 publication records. Showing 2122 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
26 | Meikang Qiu, Jiande Wu |
Energy saving for memory with loop scheduling and prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, Orlando, Florida, USA, May 4-6, 2008, pp. 155-158, 2008, ACM, 978-1-59593-999-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
multi-module memory, probability, partition, low power design, prefetching, loop scheduling |
26 | Sorin Iacobovici, Lawrence Spracklen, Sudarshan Kadambi, Yuan Chou, Santosh G. Abraham |
Effective stream-based and execution-based data prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 18th Annual International Conference on Supercomputing, ICS 2004, Saint Malo, France, June 26 - July 01, 2004, pp. 1-11, 2004, ACM, 1-58113-839-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
hardware prefetcher, multiple strides, stream prefetching |
26 | Daniel Dajun Zeng, Fei-Yue Wang 0001, Sudha Ram |
Storage allocation in web prefetching techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EC ![In: Proceedings 4th ACM Conference on Electronic Commerce (EC-2003), San Diego, California, USA, June 9-12, 2003, pp. 264-265, 2003, ACM, 1-58113-679-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
prefetching, web caching, storage allocation |
26 | Jih-Kwon Peir, Shih-Chang Lai, Shih-Lien Lu, Jared Stark, Konrad Lai |
Bloom filtering cache misses for accurate data speculation and prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 16th international conference on Supercomputing, ICS 2002, New York City, NY, USA, June 22-26, 2002, pp. 189-198, 2002, ACM, 1-58113-483-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
bloom filter, instruction scheduling, data cache, data prefetching, data speculation |
26 | Angela Demke Brown, Todd C. Mowry, Orran Krieger |
Compiler-based I/O prefetching for out-of-core applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 19(2), pp. 111-170, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
prefetching, compiler optimization, virtual memory |
26 | Wei-Fen Lin, Steven K. Reinhardt, Doug Burger |
Designing a Modern Memory Hierarchy with Hardware Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 50(11), pp. 1202-1218, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Rambus DRAM, caches, Prefetching, memory bandwidth, spatial locality, memory system design |
26 | Daniel M. Pressel |
Fundamental limitations on the use of prefetching and stream buffers for scientific applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2001 ACM Symposium on Applied Computing (SAC), March 11-14, 2001, Las Vegas, NV, USA, pp. 554-559, 2001, ACM, 1-58113-287-5. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
UML, C++, high performance computing, prefetching, supercomputer, stream buffers |
26 | Bin Lan, Stéphane Bressan, Beng Chin Ooi, Kian-Lee Tan |
Rule-Assisted Prefetching in Web-Server Caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIKM ![In: Proceedings of the 2000 ACM CIKM International Conference on Information and Knowledge Management, McLean, VA, USA, November 6-11, 2000, pp. 504-511, 2000, ACM. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
caching, WEB, prefetching, web server, pattern analysis |
26 | Chye-Lin Chee, Hongjun Lu, Hong Tang, C. V. Ramamoorthy |
Adaptive Prefetching and Storage Reorganization In A Log-Structured Storage System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Knowl. Data Eng. ![In: IEEE Trans. Knowl. Data Eng. 10(5), pp. 824-838, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Adaptive prefetching, storage reorganization, database management systems, storage systems |
26 | Eric Horvitz |
Continual Computation Policies for Utility-Directed Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIKM ![In: Proceedings of the 1998 ACM CIKM International Conference on Information and Knowledge Management, Bethesda, Maryland, USA, November 3-7, 1998, pp. 175-184, 1998, ACM, 1-58113-061-9. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
continual computation, cost—benefit analysis, prefetching, bandwidth, decision theory |
26 | Vittoria De Nitto Persone, Vincenzo Grassi, Antonio Morlupi |
Modeling and Evaluation of Prefetching Policies for Context-Aware Information Services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MobiCom ![In: MOBICOM '98, The Fourth Annual ACM/IEEE International Conference on Mobile Computing and Networking, Dallas, Texas, USA, October 25-30, 1998., pp. 55-65, 1998, ACM, 1-58113-035-X. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
context-aware information service, mobile computing, wireless network, prefetching |
26 | Tien-Fu Chen, Jean-Loup Baer |
Effective Hardware Based Data Prefetching for High-Performance Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 44(5), pp. 609-623, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
hardware function unit, reference prediction, cycle-by-cycle simulations, Prefetching, branch prediction, data cache |
26 | Daniel C. McCrackin, Barna Szabados |
Using Horizontal Prefetching to Circumvent the Jump Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 40(11), pp. 1287-1291, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
horizontal prefetching, jump problem, independent instruction streams, memory utilization, prototype machine, performance, design, computer architecture, interprocessor communication, instruction sets, context switching, process synchronization, processor utilization, processor performance |
26 | Jeffrey Scott Vitter, P. Krishnan |
Optimal Prefetching via Data Compression (Extended Abstract) ![Search on Bibsonomy](Pics/bibsonomy.png) |
FOCS ![In: 32nd Annual Symposium on Foundations of Computer Science, San Juan, Puerto Rico, 1-4 October 1991, pp. 121-130, 1991, IEEE Computer Society, 0-8186-2445-0. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
page fault rates, optimal prefetching, competitive philosophy, optimal universal prefetcher, fault ratio, feature data, Markov sources, data compression, large-scale databases, hypertext systems |
26 | Alan Jay Smith |
Sequentiality and Prefetching in Database Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Database Syst. ![In: ACM Trans. Database Syst. 3(3), pp. 223-247, 1978. The full citation details ...](Pics/full.jpeg) |
1978 |
DBLP DOI BibTeX RDF |
dynamic programming, prefetching, buffer management, database systems, IMS, paging, sequentiality |
23 | Un-Keun Yoon, Han-joon Kim |
Improving Hybrid Flash-Disk Storage by Incorporating Sequential Pattern Mining into Fully-Associative Sector Translation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSE (2) ![In: Proceedings of the 12th IEEE International Conference on Computational Science and Engineering, CSE 2009, Vancouver, BC, Canada, August 29-31, 2009, pp. 189-196, 2009, IEEE Computer Society, 978-1-4244-5334-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Haiming Liu 0001, Michael Ferdman, Jaehyuk Huh 0001, Doug Burger |
Cache bursts: A new approach for eliminating dead blocks and increasing cache efficiency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), November 8-12, 2008, Lake Como, Italy, pp. 222-233, 2008, IEEE Computer Society, 978-1-4244-2836-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Peng Xia, Dan Feng 0001, Hong Jiang 0001, Lei Tian 0001, Fang Wang 0001 |
FARMER: a novel approach to file access correlation mining and evaluation reference model for optimizing peta-scale file system performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the 17th International Symposium on High-Performance Distributed Computing (HPDC-17 2008), 23-27 June 2008, Boston, MA, USA, pp. 185-196, 2008, ACM, 978-1-59593-997-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
storage management file correlation, mining method and algorithms, file system management |
23 | Lenka Hapalova, Ivan Jelínek |
Semantic web access prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CompSysTech ![In: Proceedings of the 2007 International Conference on Computer Systems and Technologies, CompSysTech 2007, Rousse, Bulgaria, June 14-15, 2007, pp. 110, 2007, ACM, 978-954-9641-50-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Huaiyang Li, Changsheng Xie, Yan Liu 0010 |
A New Method of Pefetching I/O Requests. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE NAS ![In: International Conference on Networking, Architecture, and Storage, NAS 2007, 29-31 July 2007, Guilin, China, pp. 217-224, 2007, IEEE Computer Society, 0-7695-2927-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Rémi Douence, Thomas Fritz 0001, Nicolas Loriant, Jean-Marc Menaud, Marc Ségura-Devillechaise, Mario Südholt |
An Expressive Aspect Language for System Applications with Arachne. ![Search on Bibsonomy](Pics/bibsonomy.png) |
T. Aspect-Oriented Software Development ![In: Transactions on Aspect-Oriented Software Development I, pp. 174-213, 2006, Springer, 3-540-32972-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Jeffrey P. Rybczynski, Darrell D. E. Long, Ahmed Amer |
Adapting Predictions and Workloads for Power Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: 14th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS 2006), 11-14 September 2006, Monterey, California, USA, pp. 3-12, 2006, IEEE Computer Society, 0-7695-2573-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Access Prediction, Disk Management, Energy Conservation, Power-Aware Computing |
23 | Sander Stuijk, Twan Basten, Bart Mesman, Marc Geilen |
Predictable embedding of large data structures in multiprocessor networks-on-chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: Eighth Euromicro Symposium on Digital Systems Design (DSD 2005), 30 August - 3 September 2005, Porto, Portugal, pp. 388-396, 2005, IEEE Computer Society, 0-7695-2433-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Bradford M. Beckmann, David A. Wood 0001 |
Managing Wire Delay in Large Chip-Multiprocessor Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 37th Annual International Symposium on Microarchitecture (MICRO-37 2004), 4-8 December 2004, Portland, OR, USA, pp. 319-330, 2004, IEEE Computer Society, 0-7695-2126-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
23 | Hui Song, Guohong Cao |
Cache-Miss-Initiated Prefetch in Mobile Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobile Data Management ![In: 5th IEEE International Conference on Mobile Data Management (MDM 2004), 19-22 January 2004, Berkeley, CA, USA, pp. 370-, 2004, IEEE Computer Society, 0-7695-2070-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
23 | Zhigang Hu, Margaret Martonosi, Stefanos Kaxiras |
TCP: Tag Correlating Prefetchers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Ninth International Symposium on High-Performance Computer Architecture (HPCA'03), Anaheim, California, USA, February 8-12, 2003, pp. 317-326, 2003, IEEE Computer Society, 0-7695-1871-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
23 | Won Woo Ro, Jean-Luc Gaudiot |
Compiler Support for Dynamic Speculative Pre-Execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Interaction between Compilers and Computer Architectures ![In: 7th Annual Workshop on Interaction between Compilers and Computer Architecture (INTERACT-7 2003), 8 February 2003, Anaheim, CA, USA, pp. 14-26, 2003, IEEE Computer Society, 0-7695-1889-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
23 | Gautham K. Dorai, Donald Yeung |
Transparent Threads: Resource Sharing in SMT Processors for High Single-Thread Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 2002 International Conference on Parallel Architectures and Compilation Techniques (PACT 2002), 22-25 September 2002, Charlottesville, VA, USA, pp. 30-41, 2002, IEEE Computer Society, 0-7695-1620-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
23 | Chi-Hung Chi, Jun-Li Yuan |
Runtime Association of Software Prefetch Control to Memory Access Instructions (Research Note). ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2002, Parallel Processing, 8th International Euro-Par Conference Paderborn, Germany, August 27-30, 2002, Proceedings, pp. 486-489, 2002, Springer, 3-540-44049-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
23 | Glenn Reinman, Brad Calder, Todd M. Austin |
High Performance and Energy Efficient Serial Prefetch Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISHPC ![In: High Performance Computing, 4th International Symposium, ISHPC 2002, Kansai Science City, Japan, May 15-17, 2002, Proceedings, pp. 146-159, 2002, Springer, 3-540-43674-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
23 | Gopal Pandurangan, Eli Upfal |
Can entropy characterize performance of online algorithms?. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SODA ![In: Proceedings of the Twelfth Annual Symposium on Discrete Algorithms, January 7-9, 2001, Washington, DC, USA., pp. 727-734, 2001, ACM/SIAM, 0-89871-490-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP BibTeX RDF |
|
23 | Andreas Moshovos, Dionisios N. Pnevmatikatos, Amirali Baniasadi |
Slice-processors: an implementation of operation-based prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 15th international conference on Supercomputing, ICS 2001, Sorrento, Napoli, Italy, June 16-21, 2001, pp. 321-334, 2001, ACM, 1-58113-410-X. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
23 | Wei-Kuo Liao, Chung-Ta King |
Proxy Prefetch and Prefix Caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: Proceedings of the 2001 International Conference on Parallel Processing, ICPP 2002, 3-7 September 2001, Valencia, Spain, pp. 95-102, 2001, IEEE Computer Society, 0-7695-1257-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
23 | Chi-Keung Luk |
Tolerating memory latency through software-controlled pre-execution in simultaneous multithreading processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 28th Annual International Symposium on Computer Architecture, ISCA 2001, Göteborg, Sweden, June 30-July 4, 2001, pp. 40-51, 2001, ACM, 0-7695-1162-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
23 | Srikanth T. Srinivasan, Roy Dz-Ching Ju, Alvin R. Lebeck, Chris Wilkerson |
Locality vs. criticality. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 28th Annual International Symposium on Computer Architecture, ISCA 2001, Göteborg, Sweden, June 30-July 4, 2001, pp. 132-143, 2001, ACM, 0-7695-1162-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Systems Application Architecture |
23 | Kevin D. Rich, Matthew K. Farrens |
The Decoupled-Style Prefetch Architecture (Research Note). ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2000, Parallel Processing, 6th International Euro-Par Conference, Munich, Germany, August 29 - September 1, 2000, Proceedings., pp. 989-993, 2000, Springer, 3-540-67956-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
23 | Chia-Lin Yang, Alvin R. Lebeck |
Push vs. pull: data movement for linked data structures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 14th international conference on Supercomputing, ICS 2000, Santa Fe, NM, USA, May 8-11, 2000, pp. 176-186, 2000, ACM, 1-58113-270-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
23 | Chi-Hung Chi, Jun-Li Yuan |
Sequential Unification and Aggressive Lookahead Mechanisms for Data Memory Accesses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PaCT ![In: Parallel Computing Technologies, 5th International Conference, PaCT-99, St. Petersburg, Russia, September 6-10, 1999, Proceedings, pp. 28-41, 1999, Springer, 3-540-66363-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
23 | Kun-Lung Wu, Philip S. Yu |
Increasing Multimedia System Throughput with Consumption-based Buffer Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multim. Syst. ![In: Multim. Syst. 6(6), pp. 421-428, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
News on demand, Rate-adjustable retrieval, Buffer management, Multimedia retrieval, I/O |
23 | Bruce L. Worthington, Gregory R. Ganger, Yale N. Patt |
Scheduling Algorithms for Modern Disk Drives. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1994 ACM SIGMETRICS conference on Measurement and modeling of computer systems, Vanderbilt University, Nashville, Tennessee, USA, May 16-20, 1994, pp. 241-252, 1994, ACM, 0-89791-659-X. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
23 | Kei Hiraki, Toshio Shimada, Satoshi Sekiguchi |
Empirical Study of Latency Hiding on a Fine-Grain Parallel Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Supercomputing ![In: Proceedings of the 7th international conference on Supercomputing, ICS 1993, Tokyo, Japan, July 20-22, 1993, pp. 220-229, 1993, ACM, 0-89791-600-X. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
21 | |
Search Engine Caching and Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Encyclopedia of Database Systems ![In: Encyclopedia of Database Systems, pp. 2512, 2009, Springer US, 978-0-387-35544-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Javier García Blas, Florin Isaila, Jesús Carretero 0001, Robert Latham, Robert B. Ross |
Multiple-Level MPI File Write-Back and Prefetching for Blue Gene Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PVM/MPI ![In: Recent Advances in Parallel Virtual Machine and Message Passing Interface, 16th European PVM/MPI Users' Group Meeting, Espoo, Finland, September 7-10, 2009. Proceedings, pp. 164-173, 2009, Springer, 978-3-642-03769-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Parallel I/O, Supercomputers, Parallel File Systems, MPI-IO |
21 | Chang Joo Lee, Veynu Narasiman, Onur Mutlu, Yale N. Patt |
Improving memory bank-level parallelism in the presence of prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), December 12-16, 2009, New York, New York, USA, pp. 327-336, 2009, ACM, 978-1-60558-798-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Ibrahim Hur, Calvin Lin |
Feedback mechanisms for improving probabilistic memory prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 14-18 February 2009, Raleigh, North Carolina, USA, pp. 443-454, 2009, IEEE Computer Society, 978-1-4244-2932-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Francesco Redaelli, Marco D. Santambrogio, Donatella Sciuto |
Task Scheduling with Configuration Prefetching and Anti-Fragmentation techniques on Dynamically Reconfigurable Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008, pp. 519-522, 2008, ACM, 978-3-9810801-3-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Bogdan F. Romanescu, Michael E. Bauer, Sule Ozev, Daniel J. Sorin |
Reducing the impact of intra-core process variability with criticality-based resource allocation and prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 5th Conference on Computing Frontiers, 2008, Ischia, Italy, May 5-7, 2008, pp. 129-138, 2008, ACM, 978-1-60558-077-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
microarchitecture, process variability |
21 | Chungsoo Lim, Gregory T. Byrd |
Exploiting producer patterns and L2 cache for timely dependence-based prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 26th International Conference on Computer Design, ICCD 2008, 12-15 October 2008, Lake Tahoe, CA, USA, Proceedings, pp. 685-692, 2008, IEEE Computer Society, 978-1-4244-2657-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Zhimin Gu, Zhijie Ban, Hongli Zhang 0002, Zhaolei Duan, Xiaojin Ren |
Online Granular Prediction Model for Web Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RSKT ![In: Rough Sets and Knowledge Technology, Third International Conference, RSKT 2008, Chengdu, China, May 17-19, 2008. Proceedings, pp. 340-347, 2008, Springer, 978-3-540-79720-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Data Mining, Algorithms, Granular Computing |
21 | Xinhua Tian, Minxuan Zhang |
A Unified Compressed Cache Hierarchy Using Simple Frequent Pattern Compression and Partial Cache Line Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICESS ![In: Embedded Software and Systems, [Third] International Conference, ICESS 2007, Daegu, Korea, May 14-16, 2007, Proceedings, pp. 142-153, 2007, Springer, 978-3-540-72684-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Tiziano Fagni, Raffaele Perego 0001, Fabrizio Silvestri, Salvatore Orlando 0001 |
Boosting the performance of Web search engines: Caching and prefetching query results by exploiting historical usage data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Inf. Syst. ![In: ACM Trans. Inf. Syst. 24(1), pp. 51-78, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Caching, multithreading, Web search engines |
21 | Chao-Chun Chen, Chiang Lee, Chun-Chiang Wang, Yu-Chi Chung |
Prefetching LDD: a benefit-oriented approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWCMC ![In: Proceedings of the International Conference on Wireless Communications and Mobile Computing, IWCMC 2006, Vancouver, British Columbia, Canada, July 3-6, 2006, pp. 1103-1108, 2006, ACM, 1-59593-306-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
benefit analysis, pervasive service, prefetch, mobile data management, location dependent data |
21 | Ismail Kadayif, Mahmut T. Kandemir, Feihui Li |
Prefetching-aware cache line turnoff for saving leakage energy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006, pp. 182-187, 2006, IEEE, 0-7803-9451-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Xudong Shi 0003, Zhen Yang, Jih-Kwon Peir, Lu Peng 0001, Yen-Kuang Chen, Victor W. Lee, B. Liang |
Coterminous locality and coterminous group data prefetching on chip-multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Ali Ibrahim, William R. Cook |
Automatic Prefetching by Traversal Profiling in Object Persistence Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECOOP ![In: ECOOP 2006 - Object-Oriented Programming, 20th European Conference, Nantes, France, July 3-7, 2006, Proceedings, pp. 50-73, 2006, Springer, 3-540-35726-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | S. Radha, S. Mary Saira Bhanu, N. P. Gopalan |
Remote Memory Management and Prefetching Techniques for Jobs in Grid. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SKG ![In: 2006 International Conference on Semantics, Knowledge and Grid (SKG 2006), 1-3 November 2006, Guilin, China, pp. 24, 2006, IEEE Computer Society, 0-7695-2673-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | In Seon Choi, Gi Hwan Cho |
Predictive Prefetching of Context-Aware Information in Mobile Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC Workshops ![In: Emerging Directions in Embedded and Ubiquitous Computing, EUC 2006 Workshops: NCUS, SecUbiq, USN, TRUST, ESO, and MSA, Seoul, Korea, August 1-4, 2006, Proceedings, pp. 919-927, 2006, Springer, 3-540-36850-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Evgueni Dodonov, Rodrigo Fernandes de Mello, Laurence Tianruo Yang |
Adaptive Technique for Automatic Communication Access Pattern Discovery Applied to Data Prefetching in Distributed Applications Using Neural Networks and Stochastic Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPA ![In: Parallel and Distributed Processing and Applications, 4th International Symposium, ISPA 2006, Sorrento, Italy, December 4-6, 2006, Proceedings, pp. 292-303, 2006, Springer, 3-540-68067-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Markus Büttner |
Enhanced prefetching and caching strategies for single- and multi-disk systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Acta Informatica ![In: Acta Informatica 42(1), pp. 21-42, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Minas Dasygenis, Erik Brockmeyer, Bart Durinck, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis |
A Memory Hierarchical Layer Assigning and Prefetching Technique to Overcome the Memory Performance/Energy Bottleneck. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany, pp. 946-947, 2005, IEEE Computer Society, 0-7695-2288-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
21 | José R. Brunheroto, Valentina Salapura, Fernando F. Redígolo, Dirk Hoenicke, Alan Gara |
Data cache prefetching design space exploration for BlueGene/L supercomputer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBAC-PAD ![In: 17th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD 2005), 24-27 October 2005, Rio de Janeiro, Brazil, pp. 201-208, 2005, IEEE Computer Society, 0-7695-2446-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Ssu-Hsuan Lu, Chun-Chieh Yang, Hsiao-Hsi Wang, Kuan-Ching Li |
On Design of Agent Home Scheme for Prefetching Strategy in DSM Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA ![In: 19th International Conference on Advanced Information Networking and Applications (AINA 2005), 28-30 March 2005, Taipei, Taiwan, pp. 693-698, 2005, IEEE Computer Society, 0-7695-2249-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Yin-Fu Huang, Jhao-Min Hsu |
Mining Web Logs to Improve Hit Ratios of Prefetching and Caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Web Intelligence ![In: 2005 IEEE / WIC / ACM International Conference on Web Intelligence (WI 2005), 19-22 September 2005, Compiegne, France, pp. 577-580, 2005, IEEE Computer Society, 0-7695-2415-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Dingqing Hu, Changsheng Xie, Bin Cai |
A Study of Parallel Prefetching Algorithms Using Trace-Driven Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDCAT ![In: Sixth International Conference on Parallel and Distributed Computing, Applications and Technologies (PDCAT 2005), 5-8 December 2005, Dalian, China, pp. 476-478, 2005, IEEE Computer Society, 0-7695-2405-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Dongkeun Kim, Shih-Wei Liao, Perry H. Wang, Juan del Cuvillo, Xinmin Tian, Xiang Zou, Hong Wang 0003, Donald Yeung, Milind Girkar, John Paul Shen |
Physical Experimentation with Prefetching Helper Threads on Intel's Hyper-Threaded Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: 2nd IEEE / ACM International Symposium on Code Generation and Optimization (CGO 2004), 20-24 March 2004, San Jose, CA, USA, pp. 27-38, 2004, IEEE Computer Society, 0-7695-2102-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Spiros Kalogeropulos, Mahadevan Rajagopalan, Vikram Rao, Yonghong Song, Partha Tirumalai |
Processor Aware Anticipatory Prefetching in Loops. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 10th International Conference on High-Performance Computer Architecture (HPCA-10 2004), 14-18 February 2004, Madrid, Spain, pp. 106-117, 2004, IEEE Computer Society, 0-7695-2053-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Narottam Chand, Ramesh C. Joshi, Manoj Misra |
Broadcast Based Cache Invalidation and Prefetching in Mobile Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: High Performance Computing - HiPC 2004, 11th International Conference, Bangalore, India, December 19-22, 2004, Proceedings, pp. 410-419, 2004, Springer, 3-540-24129-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Miljan Vuletic, Laura Pozzi, Paolo Ienne |
Dynamic Prefetching in the Virtual Memory Window of Portable Reconfigurable Coprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: Field Programmable Logic and Application, 14th International Conference , FPL 2004, Leuven, Belgium, August 30-September 1, 2004, Proceedings, pp. 596-605, 2004, Springer, 3-540-22989-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Huaping Shen, Mohan Kumar, Sajal K. Das 0001, Zhijun Wang 0001 |
Energy-Efficient Caching and Prefetching with Data Consistency in Mobile Distributed Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), CD-ROM / Abstracts Proceedings, 26-30 April 2004, Santa Fe, New Mexico, USA, 2004, IEEE Computer Society, 0-7695-2132-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Abhishek Sharma, Azer Bestavros, Ibrahim Matta |
Performance Evaluation of Distributed Prefetching for Asynchronous Multicast in P2P Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WCW ![In: Web Content Caching and Distribution: 9th International Workshop, WCW 2004, Beijing, China, October 18-20, 2004. Proceedings, pp. 154-168, 2004, Springer, 3-540-23516-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Christoph Ambühl, Birgitta Weber |
Parallel Prefetching and Caching Is Hard. ![Search on Bibsonomy](Pics/bibsonomy.png) |
STACS ![In: STACS 2004, 21st Annual Symposium on Theoretical Aspects of Computer Science, Montpellier, France, March 25-27, 2004, Proceedings, pp. 211-221, 2004, Springer, 3-540-21236-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Jaeeun Jeon, Gunhoon Lee, Ki Dong Lee, ByoungChul Ahn |
An Adaptive Prefetching Method for Web Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (3) ![In: Computational Science and Its Applications - ICCSA 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part III, pp. 566-574, 2004, Springer, 3-540-22057-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Vittoria De Nitto Persone, Vincenzo Grassi |
Performance analysis of caching and prefetching strategies for palmtop-based navigational tools. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Intell. Transp. Syst. ![In: IEEE Trans. Intell. Transp. Syst. 4(1), pp. 23-34, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
21 | Youfeng Wu, Mauricio J. Serrano, Rakesh Krishnaiyer, Wei Li 0015, Jesse Fang |
Value-Profile Guided Stride Prefetching for Irregular Code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 11th International Conference, CC 2002, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2002, Grenoble, France, April 8-12, 2002, Proceedings, pp. 307-324, 2002, Springer, 3-540-43369-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
21 | Resit Sendag, David J. Lilja, Steven R. Kunkel |
Exploiting the Prefetching Effect Provided by Executing Mispredicted Load Instructions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2002, Parallel Processing, 8th International Euro-Par Conference Paderborn, Germany, August 27-30, 2002, Proceedings, pp. 468-480, 2002, Springer, 3-540-44049-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
21 | Shimin Chen, Phillip B. Gibbons, Todd C. Mowry, Gary Valentin |
Fractal prefetching B±Trees: optimizing both cache and disk performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the 2002 ACM SIGMOD International Conference on Management of Data, Madison, Wisconsin, USA, June 3-6, 2002, pp. 157-168, 2002, ACM, 1-58113-497-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
21 | Dong-Ho Lee, Jungsup Kim, Soo Duk Kim, Ki-Chang Kim, Yoo-Sung Kim, Jaehyun Park 0003 |
Adaptation of a Neighbor Selection Markov Chain for Prefetching Tiled Web GIS Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ADVIS ![In: Advances in Information Systems, Second International Conference, ADVIS 2002, Izmir, Turkey, October 23-25, 2002, Proceedings, pp. 213-222, 2002, Springer, 3-540-00009-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
21 | Sobeeh Almukhaizim, Peter Petrov, Alex Orailoglu |
Faults in Processor Control Subsystems: Testing Correctness and Performance Faults in the Data Prefetching Unit. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 10th Asian Test Symposium (ATS 2001), 19-21 November 2001, Kyoto, Japan, pp. 319-324, 2001, IEEE Computer Society, 0-7695-1378-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
21 | Yoo-Sung Kim, Ki-Chang Kim, Soo Duk Kim |
Prefetching Tiled Internet Data Using a Neighbor Selection Markov Chain. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IICS ![In: Innovative Internet Computing Systems, International Workshop IICS 2001, Ilmenau, Germany, June 21-22, 2001, Proceedings, pp. 103-115, 2001, Springer, 3-540-42275-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
21 | Seon Ho Kim |
Bulk Prefetching With Deadline-Driven Scheduling To Minimize Startup Latency Of Continuous Media Servers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICME ![In: Proceedings of the 2001 IEEE International Conference on Multimedia and Expo, ICME 2001, August 22-25, 2001, Tokyo, Japan, 2001, IEEE Computer Society, 0-7695-1198-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
21 | Yong Woon Park, Keon Hyo Baek, Ki-Dong Chung |
Proxy Caching Based on Patching Scheme and Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Pacific Rim Conference on Multimedia ![In: Advances in Multimedia Information Processing - PCM 2001, Second IEEE Pacific Rim Conference on Multimedia, Bejing, China, October 24-26, 2001, Proceedings, pp. 558-565, 2001, Springer, 3-540-42680-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
21 | Jamison D. Collins, Hong Wang 0003, Dean M. Tullsen, Christopher J. Hughes, Yong-Fong Lee, Daniel M. Lavery, John Paul Shen |
Speculative precomputation: long-range prefetching of delinquent loads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 28th Annual International Symposium on Computer Architecture, ISCA 2001, Göteborg, Sweden, June 30-July 4, 2001, pp. 14-25, 2001, ACM, 0-7695-1162-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Systems Application Architecture |
21 | Mahesh Kallahalla, Peter J. Varman |
Optimal prefetching and caching for parallel I/O systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: Proceedings of the Thirteenth Annual ACM Symposium on Parallel Algorithms and Architectures, SPAA 2001, Heraklion, Crete Island, Greece, July 4-6, 2001, pp. 219-228, 2001, ACM, 1-58113-409-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
21 | Juanjo Noguera, Rosa M. Badia |
Configuration Prefetching for Non-deterministic Event Driven Multi-context Schedulers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: Field-Programmable Logic and Applications, The Roadmap to Reconfigurable Computing, 10th International Workshop, FPL 2000, Villach, Austria, August 27-30, 2000, Proceedings, pp. 842-845, 2000, Springer, 3-540-67899-9. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
21 | Dorota M. Huizinga, Saurabh Desai |
Implementation of Informed Prefetching and Caching in Linux . ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITCC ![In: 2000 International Symposium on Information Technology (ITCC 2000), 27-29 March 2000, Las Vegas, NV, USA, pp. 443-448, 2000, IEEE Computer Society, 0-7695-0540-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
21 | In-Hwan Kim, Jeong-Won Kim, Seung-Won Lee, Ki-Dong Chung |
VBR Video Data Scheduling Using Window-Based Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICMCS, Vol. 1 ![In: IEEE International Conference on Multimedia Computing and Systems, ICMCS 1999, Florence, Italy, June 7-11, 1999. Volume I, pp. 159-164, 1999, IEEE Computer Society, 0-7695-0253-9. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
21 | Graham P. Jones, Nigel P. Topham |
A Comparison of Data Prefetching on an Access Decoupled and Superscalar Machine. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 30, Research Triangle Park, North Carolina, USA, December 1-3, 1997, pp. 65-70, 1997, ACM/IEEE Computer Society, 0-8186-7977-8. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Access Decoupling, Superscalar, out of order execution, latency hiding |
21 | Edward D. Moreno, Sergio Takeo Kofuji, Marcelo H. Cintra |
Prefetching and Multithreading Performance in Bus-Based Multiprocessors with Petri Nets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par '97 Parallel Processing, Third International Euro-Par Conference, Passau, Germany, August 26-29, 1997, Proceedings, pp. 1017-1024, 1997, Springer, 3-540-63440-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
21 | Martin Reisslein, Keith W. Ross |
A Join--the--Shortest--Queue Prefetching Protocol for VBR Video on Demand. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICNP ![In: 1997 International Conference on Network Protocols (ICNP '97), 28-31 October 1997, Atlanta, GA, USA, pp. 63-72, 1997, IEEE Computer Society, 0-8186-8061-X. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
20 | Bernardo de la Ossa, Ana Pont, Julio Sahuquillo, José A. Gil 0001 |
Referrer graph: a low-cost web prediction algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2010 ACM Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010, pp. 831-838, 2010, ACM, 978-1-60558-639-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
latency reduction, web prediction, web prefetching |
20 | Azarias Reda, Brian D. Noble, Yidnekachew Haile |
Distributing private data in challenged network environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WWW ![In: Proceedings of the 19th International Conference on World Wide Web, WWW 2010, Raleigh, North Carolina, USA, April 26-30, 2010, pp. 801-810, 2010, ACM, 978-1-60558-799-8. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
band-width, ethiopia, limited connectivity, www access, caching, prefetching, latency, developing regions, personal data, sms |
20 | Abhishek Bhattacharjee, Margaret Martonosi |
Inter-core cooperative TLB for chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2010, Pittsburgh, Pennsylvania, USA, March 13-17, 2010, pp. 359-370, 2010, ACM, 978-1-60558-839-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
parallelism, prefetching, translation lookaside buffer |
20 | Huiguang Liang, Ransi Nilaksha De Silva, Wei Tsang Ooi, Mehul Motani |
Avatar mobility in user-created networked virtual worlds: measurements, analysis, and implications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multim. Tools Appl. ![In: Multim. Tools Appl. 45(1-3), pp. 163-190, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Mobility traces user behavior, Peer-to-peer, Caching, Prefetching, Second Life, Networked virtual environment (NVE) |
20 | Pralhad Deshpande, Anand Kashyap, Chul Sung, Samir R. Das |
Predictive methods for improved vehicular WiFi access. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MobiSys ![In: Proceedings of the 7th International Conference on Mobile Systems, Applications, and Services (MobiSys 2009), Kraków, Poland, June 22-25, 2009, pp. 263-276, 2009, ACM, 978-1-60558-566-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
vehicular internet access, prefetching, wifi, fast handoff |
20 | Mehmet Belgin, Godmar Back, Calvin J. Ribbens |
Pattern-based sparse matrix representation for memory-efficient SMVM kernels. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009, pp. 100-109, 2009, ACM, 978-1-60558-498-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
bcoo, bcsr, matrix splitting, pbr, smvm, spmv, prefetching, vectorization, memory bandwidth, iterative solvers, sparse computations, csr |
20 | Fang Liu, Fei Guo, Yan Solihin, Seongbeom Kim, Abdulaziz Eker |
Characterizing and modeling the behavior of context switch misses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 17th International Conference on Parallel Architectures and Compilation Techniques, PACT 2008, Toronto, Ontario, Canada, October 25-29, 2008, pp. 91-101, 2008, ACM, 978-1-60558-282-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
context switch misses, stack distance profiling, prefetching, analytical model |
20 | Sebastian Winkel, Rakesh Krishnaiyer, Robyn Sampson |
Latency-tolerant software pipelining in a production compiler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Sixth International Symposium on Code Generation and Optimization (CGO 2008), April 5-9, 2008, Boston, MA, USA, pp. 104-113, 2008, ACM, 978-1-59593-978-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
latency-tolerant scheduling, load clustering, compiler, code generation, prefetching, software pipelining, modulo scheduling, memory latency, memory-level parallelism, itanium, epic |
20 | Shao-Yang Wang, Rong-Guey Chang |
Code size reduction by compressing repeated instruction sequences. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 40(3), pp. 319-331, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Repeated instruction sequence, Index table, Instruction table, Register bank, Code compression, Decompression, Instruction prefetching |
20 | Yefim Shuf, Ian M. Steiner |
Characterizing a Complex J2EE Workload: A Comprehensive Analysis and Opportunities for Optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: 2007 IEEE International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings, pp. 44-53, 2007, IEEE Computer Society, 1-4244-1081-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
J2EE workload, Java benchmarks, SPECjvm98, SPECjbb2000, Java 2 Enterprise Edition, SPECjAppServer2004, systems research, software research, cache-to-cache modified data transfers, intelligent thread co-scheduling, Java heap, bursty data cache, Java virtual method calls, optimizations, performance analysis, garbage collection, instruction cache, data prefetching, commercial workload |
20 | Sang-Won Kang, Joon-Min Gil, SangKeun Lee 0001 |
Considering a user's mobility and query patterns in location-based services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobility Conference ![In: Proceedings of the 4th International Conference on Mobile Technology, Applications, and Systems and the 1st International Symposium on Computer Human Interaction in Mobile Technology, Mobility Conference 2007, Singapore, September 10-12, 2007, pp. 386-393, 2007, ACM, 978-1-59593-819-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
semantic prefetching, mobility, query, location, range query, cache replacement, nearest neighbor query |
Displaying result #401 - #500 of 2122 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ >>] |
|