The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for prefetching with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1978-1989 (15) 1990-1991 (17) 1992-1993 (23) 1994 (20) 1995 (30) 1996 (52) 1997 (51) 1998 (55) 1999 (67) 2000 (66) 2001 (92) 2002 (96) 2003 (92) 2004 (118) 2005 (139) 2006 (107) 2007 (113) 2008 (104) 2009 (82) 2010 (72) 2011 (49) 2012 (49) 2013 (58) 2014 (50) 2015 (49) 2016 (56) 2017 (63) 2018 (71) 2019 (51) 2020 (58) 2021 (45) 2022 (60) 2023 (45) 2024 (7)
Publication types (Num. hits)
article(639) book(2) incollection(6) inproceedings(1448) phdthesis(27)
Venues (Conferences, Journals, ...)
CoRR(60) MICRO(55) ISCA(45) ICS(38) IEEE Trans. Computers(37) ICCD(36) IPDPS(35) HPCA(30) ASPLOS(29) IEEE Trans. Parallel Distribut...(29) Euro-Par(22) ICPP(22) J. Supercomput.(21) SIGMETRICS(20) IEEE PACT(18) PACT(18) More (+10 of total 716)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1652 occurrences of 756 keywords

Results
Found 2122 publication records. Showing 2122 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
26Meikang Qiu, Jiande Wu Energy saving for memory with loop scheduling and prefetching. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-module memory, probability, partition, low power design, prefetching, loop scheduling
26Sorin Iacobovici, Lawrence Spracklen, Sudarshan Kadambi, Yuan Chou, Santosh G. Abraham Effective stream-based and execution-based data prefetching. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hardware prefetcher, multiple strides, stream prefetching
26Daniel Dajun Zeng, Fei-Yue Wang 0001, Sudha Ram Storage allocation in web prefetching techniques. Search on Bibsonomy EC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF prefetching, web caching, storage allocation
26Jih-Kwon Peir, Shih-Chang Lai, Shih-Lien Lu, Jared Stark, Konrad Lai Bloom filtering cache misses for accurate data speculation and prefetching. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF bloom filter, instruction scheduling, data cache, data prefetching, data speculation
26Angela Demke Brown, Todd C. Mowry, Orran Krieger Compiler-based I/O prefetching for out-of-core applications. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF prefetching, compiler optimization, virtual memory
26Wei-Fen Lin, Steven K. Reinhardt, Doug Burger Designing a Modern Memory Hierarchy with Hardware Prefetching. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Rambus DRAM, caches, Prefetching, memory bandwidth, spatial locality, memory system design
26Daniel M. Pressel Fundamental limitations on the use of prefetching and stream buffers for scientific applications. Search on Bibsonomy SAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF UML, C++, high performance computing, prefetching, supercomputer, stream buffers
26Bin Lan, Stéphane Bressan, Beng Chin Ooi, Kian-Lee Tan Rule-Assisted Prefetching in Web-Server Caching. Search on Bibsonomy CIKM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF caching, WEB, prefetching, web server, pattern analysis
26Chye-Lin Chee, Hongjun Lu, Hong Tang, C. V. Ramamoorthy Adaptive Prefetching and Storage Reorganization In A Log-Structured Storage System. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Adaptive prefetching, storage reorganization, database management systems, storage systems
26Eric Horvitz Continual Computation Policies for Utility-Directed Prefetching. Search on Bibsonomy CIKM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF continual computation, cost—benefit analysis, prefetching, bandwidth, decision theory
26Vittoria De Nitto Persone, Vincenzo Grassi, Antonio Morlupi Modeling and Evaluation of Prefetching Policies for Context-Aware Information Services. Search on Bibsonomy MobiCom The full citation details ... 1998 DBLP  DOI  BibTeX  RDF context-aware information service, mobile computing, wireless network, prefetching
26Tien-Fu Chen, Jean-Loup Baer Effective Hardware Based Data Prefetching for High-Performance Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF hardware function unit, reference prediction, cycle-by-cycle simulations, Prefetching, branch prediction, data cache
26Daniel C. McCrackin, Barna Szabados Using Horizontal Prefetching to Circumvent the Jump Problem. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF horizontal prefetching, jump problem, independent instruction streams, memory utilization, prototype machine, performance, design, computer architecture, interprocessor communication, instruction sets, context switching, process synchronization, processor utilization, processor performance
26Jeffrey Scott Vitter, P. Krishnan Optimal Prefetching via Data Compression (Extended Abstract) Search on Bibsonomy FOCS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF page fault rates, optimal prefetching, competitive philosophy, optimal universal prefetcher, fault ratio, feature data, Markov sources, data compression, large-scale databases, hypertext systems
26Alan Jay Smith Sequentiality and Prefetching in Database Systems. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 1978 DBLP  DOI  BibTeX  RDF dynamic programming, prefetching, buffer management, database systems, IMS, paging, sequentiality
23Un-Keun Yoon, Han-joon Kim Improving Hybrid Flash-Disk Storage by Incorporating Sequential Pattern Mining into Fully-Associative Sector Translation. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Haiming Liu 0001, Michael Ferdman, Jaehyuk Huh 0001, Doug Burger Cache bursts: A new approach for eliminating dead blocks and increasing cache efficiency. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Peng Xia, Dan Feng 0001, Hong Jiang 0001, Lei Tian 0001, Fang Wang 0001 FARMER: a novel approach to file access correlation mining and evaluation reference model for optimizing peta-scale file system performance. Search on Bibsonomy HPDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF storage management file correlation, mining method and algorithms, file system management
23Lenka Hapalova, Ivan Jelínek Semantic web access prediction. Search on Bibsonomy CompSysTech The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Huaiyang Li, Changsheng Xie, Yan Liu 0010 A New Method of Pefetching I/O Requests. Search on Bibsonomy IEEE NAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Rémi Douence, Thomas Fritz 0001, Nicolas Loriant, Jean-Marc Menaud, Marc Ségura-Devillechaise, Mario Südholt An Expressive Aspect Language for System Applications with Arachne. Search on Bibsonomy T. Aspect-Oriented Software Development The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Jeffrey P. Rybczynski, Darrell D. E. Long, Ahmed Amer Adapting Predictions and Workloads for Power Management. Search on Bibsonomy MASCOTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Access Prediction, Disk Management, Energy Conservation, Power-Aware Computing
23Sander Stuijk, Twan Basten, Bart Mesman, Marc Geilen Predictable embedding of large data structures in multiprocessor networks-on-chip. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Bradford M. Beckmann, David A. Wood 0001 Managing Wire Delay in Large Chip-Multiprocessor Caches. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Hui Song, Guohong Cao Cache-Miss-Initiated Prefetch in Mobile Environments. Search on Bibsonomy Mobile Data Management The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Zhigang Hu, Margaret Martonosi, Stefanos Kaxiras TCP: Tag Correlating Prefetchers. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Won Woo Ro, Jean-Luc Gaudiot Compiler Support for Dynamic Speculative Pre-Execution. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Gautham K. Dorai, Donald Yeung Transparent Threads: Resource Sharing in SMT Processors for High Single-Thread Performance. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Chi-Hung Chi, Jun-Li Yuan Runtime Association of Software Prefetch Control to Memory Access Instructions (Research Note). Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Glenn Reinman, Brad Calder, Todd M. Austin High Performance and Energy Efficient Serial Prefetch Architecture. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Gopal Pandurangan, Eli Upfal Can entropy characterize performance of online algorithms?. Search on Bibsonomy SODA The full citation details ... 2001 DBLP  BibTeX  RDF
23Andreas Moshovos, Dionisios N. Pnevmatikatos, Amirali Baniasadi Slice-processors: an implementation of operation-based prediction. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Wei-Kuo Liao, Chung-Ta King Proxy Prefetch and Prefix Caching. Search on Bibsonomy ICPP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Chi-Keung Luk Tolerating memory latency through software-controlled pre-execution in simultaneous multithreading processors. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Srikanth T. Srinivasan, Roy Dz-Ching Ju, Alvin R. Lebeck, Chris Wilkerson Locality vs. criticality. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Systems Application Architecture
23Kevin D. Rich, Matthew K. Farrens The Decoupled-Style Prefetch Architecture (Research Note). Search on Bibsonomy Euro-Par The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Chia-Lin Yang, Alvin R. Lebeck Push vs. pull: data movement for linked data structures. Search on Bibsonomy ICS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Chi-Hung Chi, Jun-Li Yuan Sequential Unification and Aggressive Lookahead Mechanisms for Data Memory Accesses. Search on Bibsonomy PaCT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Kun-Lung Wu, Philip S. Yu Increasing Multimedia System Throughput with Consumption-based Buffer Management. Search on Bibsonomy Multim. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF News on demand, Rate-adjustable retrieval, Buffer management, Multimedia retrieval, I/O
23Bruce L. Worthington, Gregory R. Ganger, Yale N. Patt Scheduling Algorithms for Modern Disk Drives. Search on Bibsonomy SIGMETRICS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
23Kei Hiraki, Toshio Shimada, Satoshi Sekiguchi Empirical Study of Latency Hiding on a Fine-Grain Parallel Processor. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
21 Search Engine Caching and Prefetching. Search on Bibsonomy Encyclopedia of Database Systems The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Javier García Blas, Florin Isaila, Jesús Carretero 0001, Robert Latham, Robert B. Ross Multiple-Level MPI File Write-Back and Prefetching for Blue Gene Systems. Search on Bibsonomy PVM/MPI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Parallel I/O, Supercomputers, Parallel File Systems, MPI-IO
21Chang Joo Lee, Veynu Narasiman, Onur Mutlu, Yale N. Patt Improving memory bank-level parallelism in the presence of prefetching. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Ibrahim Hur, Calvin Lin Feedback mechanisms for improving probabilistic memory prefetching. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Francesco Redaelli, Marco D. Santambrogio, Donatella Sciuto Task Scheduling with Configuration Prefetching and Anti-Fragmentation techniques on Dynamically Reconfigurable Systems. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Bogdan F. Romanescu, Michael E. Bauer, Sule Ozev, Daniel J. Sorin Reducing the impact of intra-core process variability with criticality-based resource allocation and prefetching. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF microarchitecture, process variability
21Chungsoo Lim, Gregory T. Byrd Exploiting producer patterns and L2 cache for timely dependence-based prefetching. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Zhimin Gu, Zhijie Ban, Hongli Zhang 0002, Zhaolei Duan, Xiaojin Ren Online Granular Prediction Model for Web Prefetching. Search on Bibsonomy RSKT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Data Mining, Algorithms, Granular Computing
21Xinhua Tian, Minxuan Zhang A Unified Compressed Cache Hierarchy Using Simple Frequent Pattern Compression and Partial Cache Line Prefetching. Search on Bibsonomy ICESS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Tiziano Fagni, Raffaele Perego 0001, Fabrizio Silvestri, Salvatore Orlando 0001 Boosting the performance of Web search engines: Caching and prefetching query results by exploiting historical usage data. Search on Bibsonomy ACM Trans. Inf. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Caching, multithreading, Web search engines
21Chao-Chun Chen, Chiang Lee, Chun-Chiang Wang, Yu-Chi Chung Prefetching LDD: a benefit-oriented approach. Search on Bibsonomy IWCMC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF benefit analysis, pervasive service, prefetch, mobile data management, location dependent data
21Ismail Kadayif, Mahmut T. Kandemir, Feihui Li Prefetching-aware cache line turnoff for saving leakage energy. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Xudong Shi 0003, Zhen Yang, Jih-Kwon Peir, Lu Peng 0001, Yen-Kuang Chen, Victor W. Lee, B. Liang Coterminous locality and coterminous group data prefetching on chip-multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Ali Ibrahim, William R. Cook Automatic Prefetching by Traversal Profiling in Object Persistence Architectures. Search on Bibsonomy ECOOP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21S. Radha, S. Mary Saira Bhanu, N. P. Gopalan Remote Memory Management and Prefetching Techniques for Jobs in Grid. Search on Bibsonomy SKG The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21In Seon Choi, Gi Hwan Cho Predictive Prefetching of Context-Aware Information in Mobile Networks. Search on Bibsonomy EUC Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Evgueni Dodonov, Rodrigo Fernandes de Mello, Laurence Tianruo Yang Adaptive Technique for Automatic Communication Access Pattern Discovery Applied to Data Prefetching in Distributed Applications Using Neural Networks and Stochastic Models. Search on Bibsonomy ISPA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Markus Büttner Enhanced prefetching and caching strategies for single- and multi-disk systems. Search on Bibsonomy Acta Informatica The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Minas Dasygenis, Erik Brockmeyer, Bart Durinck, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis A Memory Hierarchical Layer Assigning and Prefetching Technique to Overcome the Memory Performance/Energy Bottleneck. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21José R. Brunheroto, Valentina Salapura, Fernando F. Redígolo, Dirk Hoenicke, Alan Gara Data cache prefetching design space exploration for BlueGene/L supercomputer. Search on Bibsonomy SBAC-PAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Ssu-Hsuan Lu, Chun-Chieh Yang, Hsiao-Hsi Wang, Kuan-Ching Li On Design of Agent Home Scheme for Prefetching Strategy in DSM Systems. Search on Bibsonomy AINA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Yin-Fu Huang, Jhao-Min Hsu Mining Web Logs to Improve Hit Ratios of Prefetching and Caching. Search on Bibsonomy Web Intelligence The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Dingqing Hu, Changsheng Xie, Bin Cai A Study of Parallel Prefetching Algorithms Using Trace-Driven Simulation. Search on Bibsonomy PDCAT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Dongkeun Kim, Shih-Wei Liao, Perry H. Wang, Juan del Cuvillo, Xinmin Tian, Xiang Zou, Hong Wang 0003, Donald Yeung, Milind Girkar, John Paul Shen Physical Experimentation with Prefetching Helper Threads on Intel's Hyper-Threaded Processors. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Spiros Kalogeropulos, Mahadevan Rajagopalan, Vikram Rao, Yonghong Song, Partha Tirumalai Processor Aware Anticipatory Prefetching in Loops. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Narottam Chand, Ramesh C. Joshi, Manoj Misra Broadcast Based Cache Invalidation and Prefetching in Mobile Environment. Search on Bibsonomy HiPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Miljan Vuletic, Laura Pozzi, Paolo Ienne Dynamic Prefetching in the Virtual Memory Window of Portable Reconfigurable Coprocessors. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Huaping Shen, Mohan Kumar, Sajal K. Das 0001, Zhijun Wang 0001 Energy-Efficient Caching and Prefetching with Data Consistency in Mobile Distributed Systems. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Abhishek Sharma, Azer Bestavros, Ibrahim Matta Performance Evaluation of Distributed Prefetching for Asynchronous Multicast in P2P Networks. Search on Bibsonomy WCW The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Christoph Ambühl, Birgitta Weber Parallel Prefetching and Caching Is Hard. Search on Bibsonomy STACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Jaeeun Jeon, Gunhoon Lee, Ki Dong Lee, ByoungChul Ahn An Adaptive Prefetching Method for Web Caches. Search on Bibsonomy ICCSA (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Vittoria De Nitto Persone, Vincenzo Grassi Performance analysis of caching and prefetching strategies for palmtop-based navigational tools. Search on Bibsonomy IEEE Trans. Intell. Transp. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Youfeng Wu, Mauricio J. Serrano, Rakesh Krishnaiyer, Wei Li 0015, Jesse Fang Value-Profile Guided Stride Prefetching for Irregular Code. Search on Bibsonomy CC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Resit Sendag, David J. Lilja, Steven R. Kunkel Exploiting the Prefetching Effect Provided by Executing Mispredicted Load Instructions. Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Shimin Chen, Phillip B. Gibbons, Todd C. Mowry, Gary Valentin Fractal prefetching B±Trees: optimizing both cache and disk performance. Search on Bibsonomy SIGMOD Conference The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Dong-Ho Lee, Jungsup Kim, Soo Duk Kim, Ki-Chang Kim, Yoo-Sung Kim, Jaehyun Park 0003 Adaptation of a Neighbor Selection Markov Chain for Prefetching Tiled Web GIS Data. Search on Bibsonomy ADVIS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Sobeeh Almukhaizim, Peter Petrov, Alex Orailoglu Faults in Processor Control Subsystems: Testing Correctness and Performance Faults in the Data Prefetching Unit. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Yoo-Sung Kim, Ki-Chang Kim, Soo Duk Kim Prefetching Tiled Internet Data Using a Neighbor Selection Markov Chain. Search on Bibsonomy IICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Seon Ho Kim Bulk Prefetching With Deadline-Driven Scheduling To Minimize Startup Latency Of Continuous Media Servers. Search on Bibsonomy ICME The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Yong Woon Park, Keon Hyo Baek, Ki-Dong Chung Proxy Caching Based on Patching Scheme and Prefetching. Search on Bibsonomy IEEE Pacific Rim Conference on Multimedia The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Jamison D. Collins, Hong Wang 0003, Dean M. Tullsen, Christopher J. Hughes, Yong-Fong Lee, Daniel M. Lavery, John Paul Shen Speculative precomputation: long-range prefetching of delinquent loads. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Systems Application Architecture
21Mahesh Kallahalla, Peter J. Varman Optimal prefetching and caching for parallel I/O systems. Search on Bibsonomy SPAA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Juanjo Noguera, Rosa M. Badia Configuration Prefetching for Non-deterministic Event Driven Multi-context Schedulers. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Dorota M. Huizinga, Saurabh Desai Implementation of Informed Prefetching and Caching in Linux . Search on Bibsonomy ITCC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21In-Hwan Kim, Jeong-Won Kim, Seung-Won Lee, Ki-Dong Chung VBR Video Data Scheduling Using Window-Based Prefetching. Search on Bibsonomy ICMCS, Vol. 1 The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Graham P. Jones, Nigel P. Topham A Comparison of Data Prefetching on an Access Decoupled and Superscalar Machine. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Access Decoupling, Superscalar, out of order execution, latency hiding
21Edward D. Moreno, Sergio Takeo Kofuji, Marcelo H. Cintra Prefetching and Multithreading Performance in Bus-Based Multiprocessors with Petri Nets. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Martin Reisslein, Keith W. Ross A Join--the--Shortest--Queue Prefetching Protocol for VBR Video on Demand. Search on Bibsonomy ICNP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
20Bernardo de la Ossa, Ana Pont, Julio Sahuquillo, José A. Gil 0001 Referrer graph: a low-cost web prediction algorithm. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF latency reduction, web prediction, web prefetching
20Azarias Reda, Brian D. Noble, Yidnekachew Haile Distributing private data in challenged network environments. Search on Bibsonomy WWW The full citation details ... 2010 DBLP  DOI  BibTeX  RDF band-width, ethiopia, limited connectivity, www access, caching, prefetching, latency, developing regions, personal data, sms
20Abhishek Bhattacharjee, Margaret Martonosi Inter-core cooperative TLB for chip multiprocessors. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parallelism, prefetching, translation lookaside buffer
20Huiguang Liang, Ransi Nilaksha De Silva, Wei Tsang Ooi, Mehul Motani Avatar mobility in user-created networked virtual worlds: measurements, analysis, and implications. Search on Bibsonomy Multim. Tools Appl. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Mobility traces user behavior, Peer-to-peer, Caching, Prefetching, Second Life, Networked virtual environment (NVE)
20Pralhad Deshpande, Anand Kashyap, Chul Sung, Samir R. Das Predictive methods for improved vehicular WiFi access. Search on Bibsonomy MobiSys The full citation details ... 2009 DBLP  DOI  BibTeX  RDF vehicular internet access, prefetching, wifi, fast handoff
20Mehmet Belgin, Godmar Back, Calvin J. Ribbens Pattern-based sparse matrix representation for memory-efficient SMVM kernels. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF bcoo, bcsr, matrix splitting, pbr, smvm, spmv, prefetching, vectorization, memory bandwidth, iterative solvers, sparse computations, csr
20Fang Liu, Fei Guo, Yan Solihin, Seongbeom Kim, Abdulaziz Eker Characterizing and modeling the behavior of context switch misses. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF context switch misses, stack distance profiling, prefetching, analytical model
20Sebastian Winkel, Rakesh Krishnaiyer, Robyn Sampson Latency-tolerant software pipelining in a production compiler. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF latency-tolerant scheduling, load clustering, compiler, code generation, prefetching, software pipelining, modulo scheduling, memory latency, memory-level parallelism, itanium, epic
20Shao-Yang Wang, Rong-Guey Chang Code size reduction by compressing repeated instruction sequences. Search on Bibsonomy J. Supercomput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Repeated instruction sequence, Index table, Instruction table, Register bank, Code compression, Decompression, Instruction prefetching
20Yefim Shuf, Ian M. Steiner Characterizing a Complex J2EE Workload: A Comprehensive Analysis and Opportunities for Optimizations. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF J2EE workload, Java benchmarks, SPECjvm98, SPECjbb2000, Java 2 Enterprise Edition, SPECjAppServer2004, systems research, software research, cache-to-cache modified data transfers, intelligent thread co-scheduling, Java heap, bursty data cache, Java virtual method calls, optimizations, performance analysis, garbage collection, instruction cache, data prefetching, commercial workload
20Sang-Won Kang, Joon-Min Gil, SangKeun Lee 0001 Considering a user's mobility and query patterns in location-based services. Search on Bibsonomy Mobility Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF semantic prefetching, mobility, query, location, range query, cache replacement, nearest neighbor query
Displaying result #401 - #500 of 2122 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license