The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for reconfigurable with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1971-1980 (17) 1981-1983 (19) 1984-1985 (19) 1986 (15) 1987 (18) 1988 (32) 1989 (25) 1990 (42) 1991 (49) 1992 (53) 1993 (70) 1994 (73) 1995 (112) 1996 (124) 1997 (132) 1998 (218) 1999 (234) 2000 (382) 2001 (285) 2002 (477) 2003 (561) 2004 (661) 2005 (789) 2006 (1067) 2007 (976) 2008 (1061) 2009 (868) 2010 (883) 2011 (832) 2012 (732) 2013 (802) 2014 (786) 2015 (791) 2016 (704) 2017 (752) 2018 (793) 2019 (806) 2020 (922) 2021 (1159) 2022 (1243) 2023 (1212) 2024 (305)
Publication types (Num. hits)
article(7338) book(22) data(1) incollection(68) inproceedings(13188) phdthesis(411) proceedings(73)
Venues (Conferences, Journals, ...)
CoRR(917) FPL(904) ReConFig(900) ARC(699) ACM Trans. Reconfigurable Tech...(505) ReCoSoC(411) IEEE Access(378) FCCM(372) ERSA(343) IPDPS(303) ISCAS(285) Int. J. Reconfigurable Comput.(268) DATE(253) IEEE Trans. Very Large Scale I...(225) FPT(222) FPGA(200) More (+10 of total 2266)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5390 occurrences of 2060 keywords

Results
Found 21101 publication records. Showing 21101 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
26Marcelo Götz, Achim Rettberg, Carlos Eduardo Pereira A Run-Time Partitioning Algorithm for RTOS on Reconfigurable Hardware. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Sacki Agelis, Magnus Jonsson Reconfigurable Optical Interconnection System Supporting Concurrent Application-Specific Parallel Computing. Search on Bibsonomy SBAC-PAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Stéphane Chevobbe, Nicolas Ventroux, Frédéric Blanc 0001, Thierry Collette RAMPASS: Reconfigurable and Advanced Multi-processing Architecture for Future Silicon Systems. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Michael Rubenstein, Kenneth Payne, Peter M. Will, Wei-Min Shen Docking Among Independent and Autonomous CONRO Self-reconfigurable Robots. Search on Bibsonomy ICRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Kostas Masselos, Spyros Blionas, Jean-Yves Mignolet, A. Foster, Dimitrios Soudris, Spiridon Nikolaidis 0001 Hardware Building Blocks of a Mixed Granularity Reconfigurable System-on-Chip Platform. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Michalis D. Galanis, Athanasios Milidonis, George Theodoridis, Dimitrios Soudris, Constantinos E. Goutis A Partitioning Methodology for Accelerating Applications in Hybrid Reconfigurable Platforms. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Sami Khawam, Sajid Baloch, Arjun Pai, Imran Ahmed 0001, Nizamettin Aydin, Tughrul Arslan, Fred Westall Efficient Implementations of Mobile Video Computations on Domain-Specific Reconfigurable Arrays. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Iván González, Javier Sanchez-Pastor, Jorge L. Hernandez-Ardieta, Francisco J. Gomez-Arribas, Javier Martínez Using Reconfigurable Hardware Through Web Services in Distributed Applications. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Renqiu Huang, Manish Handa, Ranga Vemuri Analysis of a Hybrid Interconnect Architecture for Dynamically Reconfigurable FPGAs. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Leandro Möller, Ney Laert Vilar Calazans, Fernando Gehm Moraes, Eduardo Wenzel Brião, Ewerson Carvalho, Daniel Camozzato FiPRe: An Implementation Model to Enable Self-Reconfigurable Applications. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Minoru Watanabe, Fuminori Kobayashi A High-Density Optically Reconfigurable Gate Array Using Dynamic Method. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Miljan Vuletic, Laura Pozzi, Paolo Ienne Virtual Memory Window for a Portable Reconfigurable Cryptography Coprocessor. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26André DeHon, Joshua Adams, Michael DeLorimier, Nachiket Kapre, Yuki Matsuda 0007, Helia Naeimi, Michael C. Vanier, Michael G. Wrighton Design Patterns for Reconfigurable Computing. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Miljan Vuletic, Laura Pozzi, Paolo Ienne Programming Transparency and Portable Hardware Interfacing: Towards General-Purpose Reconfigurable Computing. Search on Bibsonomy ASAP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Jürgen Helmschmidt, Eberhard Schüler, Prashant Rao, Sergio Rossi, Serge di Matteo, Rainer Bonitz Reconfigurable Signal Processing in Wireless Terminals. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Kees A. Vissers Parallel Processing Architectures for Reconfigurable Systems. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Théodore Marescaux, Jean-Yves Mignolet, T. Andrei Bartic, W. Moffat, Diederik Verkest, Serge Vernalde, Rudy Lauwereins Networks on Chip as Hardware Components of an OS for Reconfigurable Systems. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Toshiro Kitaoka, Hideharu Amano, Kenichiro Anjo Reducing the Configuration Loading Time of a Coarse Grain Multicontext Reconfigurable Device. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Mauricio Ayala-Rincón, Rodrigo Borges Nogueira, Carlos H. Llanos, Ricardo P. Jacobi, Reiner W. Hartenstein Modeling a Reconfigurable System for Computing the FFT in Place via Rewriting-Logic. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26J. L. Silva, R. M. Costa, G. H. R. Jorge RtrASSoc - An Adaptable Superscalar Reconfigurable System-On-Chip. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Katherine Compton, Zhiyuan Li 0008, James Cooley, Stephen Knol, Scott Hauck Configuration relocation and defragmentation for run-time reconfigurable computing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Jürgen Teich, Markus Köster (Self-)reconfigurable Finite State Machines: Theory and Implementation. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Steffen Köhler, Jens Braunes, Sergej Sawitzki, Rainer G. Spallek Improving Code Efficiency for Reconfigurable VLIW Processors. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Mihai Budiu, Mahim Mishra, Ashwin R. Bharambe, Seth Copen Goldstein Peer-to-Peer Hardware-Software Interfaces for Reconfigurable Fabrics. Search on Bibsonomy FCCM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Satnam Singh Interface specification for reconfigurable components. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Sergej Sawitzki, Steffen Köhler, Rainer G. Spallek Prototyping Framework for Reconfigurable Processors. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Pieter Op de Beeck, Francisco Barat, Murali Jayapala, Rudy Lauwereins CRISP: A Template for Reconfigurable Instruction Set Processors. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Neil W. Bergmann, Anwar S. Dawood Adaptive Interfacing with Reconfigurable Computers. Search on Bibsonomy ACSAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Huesung Kim, Arun K. Somani, Akhilesh Tyagi A reconfigurable multi-function computing cache architecture. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Martyn Edwards, Peter Green 0001 An Object Oriented Design Method for Reconfigurable Computing Systems. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26John Jing-Fu Jenq, Dajin Wang Parallel Computation of Configuration Space on Reconfigurable Mesh with Faults. Search on Bibsonomy ICPP Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Masaru Fukushi, Susumu Horiguchi Self-Reconfigurable Mesh Array System on FPGA. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Jeffrey Hammes, Bruce A. Draper, A. P. Wim Böhm Sassy: A Language and Optimizing Compiler for Image Processing on Reconfigurable Computing Systems. Search on Bibsonomy ICVS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Lizy Kurian John, E. John A dynamically reconfigurable interconnect for array processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Scott Hauck Configuration Prefetch for Single Context Reconfigurable Coprocessors. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Gordon Charles McGregor, David Robinson, Patrick Lysaght A Hardwar/Software Co-design Environment for Reconfigurable Logic Systems. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Kiran Bondalapati, Viktor K. Prasanna Mapping Loops onto Reconfigurable Architectures. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Timothy J. Callahan, John Wawrzynek Instruction-Level Parallelism for Reconfigurable Computing. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Takashi Miyamori, Kunle Olukotun A Quantitative Analysis of Reconfigurable Coprocessors for Multimedia Applications. Search on Bibsonomy FCCM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Manfred Kunde, Kay Guertzig Efficient Sorting and Routing on Reconfigurable Meshes Using Restricted Bus Length. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Dirk Koch, Christian Beckhoff, Jürgen Teich Hardware Decompression Techniques for FPGA-Based Embedded Systems. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reconfigurable computing, configuration, Bitstream
24Maurice Keller, Andrew Byrne, William P. Marnane Elliptic Curve Cryptography on FPGA for Low-Power Applications. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, low-power, Cryptography, elliptic curves
24Manish Handa, Ranga Vemuri An efficient algorithm for finding empty space for online FPGA placement. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF online placement, partially reconfigurable FPGAs, reconfigurable computing
24Johann Großschädl The Chinese Remainder Theorem and its Application in a High-Speed RSA Crypto Chip. Search on Bibsonomy ACSAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF RSA/spl gamma/ crypto-chip, RSA encryption scheme, hardware performance, long-integer modular arithmetic, private key operations, multiplier architecture, high-speed hardware accelerator, reconfigurable multiplier datapath, word-serial multiplier, modular reduction method, multiplier core, decryption rate, 200 MHz, 560 kbit/s, 2 Mbit/s, parallelism, pipelining, public key cryptography, reconfigurable architectures, clocks, Chinese Remainder Theorem, microprocessor chips, multiplying circuits, modular multiplications, modular exponentiations, pipeline arithmetic, clock frequency
24Shung-Shing Lee, Shi-Jinn Horng, Horng-Ren Tsai, Yu-Hua Lee Some Image Processing Algorithms on a RAP with Wider Bus Networks. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF wider bus networks, reconfigurable array of processors, base-m number system, parallel algorithms, parallel algorithms, image processing, image segmentation, image segmentation, parallel architectures, multiprocessor interconnection networks, reconfigurable architectures, histogram, system buses, computation power, image processing algorithms, image labeling, constant time, RAP
24Paul M. Wexelblat An alternative addressing scheme for conventional CDMA fiber-optic networks allows interesting parallel processing capabilities. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF alternative addressing scheme, CDMA fiber-optic networks, fiber based LAN, sensor type nodes, dynamically reconfigurable parallel multiprocessor, real-time systems, parallel processing, parallel processing, local area network, code division multiple access, code division multiple access, reconfigurable architectures, optical fibre LAN
24S. Q. Zheng 0001, Balaji Calidas, Yanjun Zhang Efficient in-place sorting algorithms using feasible parallel machine models. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF in-place sorting algorithms, feasible parallel machine models, parallel sorting scheme, ZZ-sort, average performance, MasPar parallel computer, distributed memory parallel computer system, standard routine, space critical situations, fixed-size reconfigurable meshes, parallel algorithms, sorting, reconfigurable architectures, distributed memory systems, safety-critical software, tight bound, worst case performance, parallel sorting algorithm
24Michael Bolotski, Thomas Simon, Carlin Vieri, Rajeevan Amirtharajah, Thomas F. Knight Jr. Abacus: a 1024 processor 8 ns SIMD array. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF bit-slice computers, Abacus, SIMD array, reconfigurable bit-parallel array, system-level design issues, real-time early vision processing, bit-slice processing element, 8 ns, real-time systems, computer vision, VLSI, parallel architectures, reconfigurable architectures, microarchitecture, VLSI implementation, communication primitives
24Ping-Hung Yuh, Chia-Lin Yang, Yao-Wen Chang T-trees: A tree-based representation for temporal and three-dimensional floorplanning. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF temporal floorplanning, Reconfigurable computing, partially dynamical reconfiguration
24Yu Zhang, Dan Feng 0001 An Active Storage System for High Performance Computing. Search on Bibsonomy AINA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF High Performance Computing, Reconfigurable Computing, Active Storage
24Rodolfo Pellizzoni, Marco Caccamo Real-Time Management of Hardware and Software Tasks for FPGA-based Embedded Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Reconfigurable devices, real-time resource management, online admission control, hardware and software tasks
24Katherine Compton, Scott Hauck Automatic Design of Area-Efficient Configurable ASIC Cores. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF logic design and synthesis, Reconfigurable architecture
24Ping-Hung Yuh, Chia-Lin Yang, Yao-Wen Chang Temporal floorplanning using the three-dimensional transitive closure subGraph. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF temporal floorplanning, Reconfigurable computing, partially dynamical reconfiguration
24Wim Heirman, Joni Dambre, Jan Van Campenhout Synthetic traffic generation as a tool for dynamic interconnect evaluation. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dynamic interconnect requirements, reconfigurable interconnect, synthetic traffic generation
24Kenneth L. Rice, Christopher N. Vutsinas, Tarek M. Taha A preliminary investigation of a neocortex model implementation on the Cray XD1. Search on Bibsonomy SC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cognitive algorithms, FPGA, reconfigurable, application performance
24Michael Hübner 0001, Jürgen Becker 0001 Exploiting dynamic and partial reconfiguration for FPGAs: toolflow, architecture and system integration. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF designflow, dynamic and partial reconfiguration, reconfigurable hardware
24Soheil Ghiasi, Ani Nahapetian, Majid Sarrafzadeh An optimal algorithm for minimizing run-time reconfiguration delay. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF instantiation ordering, reconfiguration delay, Reconfigurable computing
24Andrea Lodi 0002, Mario Toma, Fabio Campi A pipelined configurable gate array for embedded processors. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA, pipeline, energy, reconfigurable processor
24Kris Gaj, Tarek A. El-Ghazawi, Nikitas A. Alexandridis, Jacek R. Radzikowski, Mohamed Taher, Frederic Vroman Effective Utilization and Reconfiguration of Distributed Hardware Resources Using Job Management Systems. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF distributed hardware resources, Job Management Systems, accelerator boards, FPGA, job scheduling, reconfigurable hardware
24Ulrik Pagh Schultz Distributed control diffusion: towards a flexible programming paradigm for modular robots. Search on Bibsonomy ROBOCOMM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Reiner W. Hartenstein RAW keynote 2: new horizons of very high performance computing (VHPC): hurdles and chances. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Bo-Hee Lee, Kyu-Tae Seo, Jung-Shik Kong, Jin-Geol Kim Design of the Configurable Clothes Using Mobile Actuator-Sensor Network. Search on Bibsonomy ICCSA (4) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Tien-Fu Chen, Chia-Ming Hsu, S.-R. Wu Flexible Heterogeneous Multicore Architectures for Versatile Media Processing Via Customized Long Instruction Words. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Like Yan, Binbin Wu, Yuan Wen, Shaobin Zhang, Tianzhou Chen A Reconfigurable Processor Architecture Combining Multi-core and Reconfigurable Processing Unit. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reconfigurable computing, multi-core, dynamic reconfiguration, processor architecture
23Laurent Gantel, Salah Layouni, Mohamed El Amine Benkhelifa, François Verdier, Stéphanie Chauvet Multiprocessor Task Migration Implementation in a Reconfigurable Platform. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF RTOS for embedded platforms, Dynamic and partial reconfigurable systems, FPGA, MPSoC, Task migration
23Sven Eisenhardt, Thomas Schweizer, Andreas Bernauer, Tommy Kuhn, Wolfgang Rosenstiel Prevention of Hot Spot Development on Coarse-Grained Dynamically Reconfigurable Architectures. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF temperature optimization, reliability, reconfigurable computing, hot spot, coarse-grained
23John A. Kalomiros, John N. Lygouras A Reconfigurable Architecture for Stereo-Assisted Detection of Point-Features for Robot Mapping. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Real-Time systems, Machine vision, Reconfigurable hardware, Robot mapping
23Juan Fernando Eusse Giraldo, Ricardo Pezzuol Jacobi Signal Processing Domain Application Mapping on the Brick Reconfigurable Array. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Expression Grain, Reconfigurable Computing, Signal Processing, Application Mapping
23Asadollah Shahbahrami, Mahmood Ahmadi, Stephan Wong, Koen Bertels A New Approach to Implement Discrete Wavelet Transform Using Collaboration of Reconfigurable Elements. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Grid Computing, Reconfigurable Computing, DWT
23Vikas Aggarwal, Rafael García, Greg Stitt, Alan D. George, Herman Lam SCF: a device- and language-independent task coordination framework for reconfigurable, heterogeneous systems. Search on Bibsonomy HPRCTA@SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF communication, coordination, reconfigurable computing, productivity, portability, heterogeneous computing, accelerators
23Paulo Sérgio Brandão do Nascimento, Victor Wanderley Costa de Medeiros, Viviane Lucy Santos de Souza, Abner Corrêa Barros, Manoel Eusébio de Lima A Temporal Partitioning Methodology for Reconfigurable High Performance Computers. Search on Bibsonomy ReConFig The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGAs, Reconfigurable Computers, Temporal Partitioning
23Zain-ul-Abdin, Bertil Svensson Using a CSP Based Programming Model for Reconfigurable Processor Arrays. Search on Bibsonomy ReConFig The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CSP, Programming Models, Coarse-grained Reconfigurable Architectures
23Francesco Redaelli, Marco D. Santambrogio, Seda Ogrenci Memik An ILP Formulation for the Task Graph Scheduling Problem Tailored to Bi-dimensional Reconfigurable Architectures. Search on Bibsonomy ReConFig The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 2D dynamically and partially reconfigurable architecture, ILP scheduling model
23Jean-Christophe Prévotet, Mohamed El Amine Benkhelifa, Bertrand Granado, Emmanuel Huck, Benoît Miramond, François Verdier, Daniel Chillet, Sébastien Pillement A Framework for the Exploration of RTOS Dedicated to the Management of Hardware Reconfigurable Resources. Search on Bibsonomy ReConFig The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dynamically reconfigurable architectures, RTOS, high-level modelling
23Juan Antonio Clemente, Carlos González 0002, Javier Resano, Daniel Mozos A Hardware Task-Graph Scheduler for Reconfigurable Multi-tasking Systems. Search on Bibsonomy ReConFig The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Hardware multitasking, FPGAs, Reconfigurable architectures, Task scheduling
23Yuken Kishimoto, Shinichiro Haruyama, Hideharu Amano Design and Implementation of Adaptive Viterbi Decoder for Using A Dynamic Reconfigurable Processor. Search on Bibsonomy ReConFig The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Software-Defined Radio, Reconfigurable Processor, Viterbi Decoder
23Manuel Saldaña, Emanuel Ramalho, Paul Chow A Message-Passing Hardware/Software Co-simulation Environment to Aid in Reconfigurable Computing Design Using TMD-MPI. Search on Bibsonomy ReConFig The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Simulation, FPGA, Parallel Programming, MPI, Message-Passing, Reconfigurable, High-Performance
23Abdulrahman Hanoun, Friedrich Mayer-Lindenberg, Bassel Soudan Reconfigurable Cell Architecture for Systolic and Pipelined Computing Datapaths. Search on Bibsonomy ReConFig The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 2D pipeline, Baugh-Wooley, Reconfigurable, Multiplier, distributed arithmetic, Systolic
23Luiza M. N. Coutinho, José Leandro D. Mendes, Carlos A. P. S. Martins Dynamically Reconfigurable Split Cache Architecture. Search on Bibsonomy ReConFig The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Computer Architecture, Reconfigurable Computing, Cache memories
23Sohan Purohit, Sai Rahul Chalamalasetti, Martin Margala, Pasquale Corsonello Power-Efficient High Throughput Reconfigurable Datapath Design for Portable Multimedia Devices. Search on Bibsonomy ReConFig The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multimedia, reconfigurable, SIMD, datapath
23Patrick Rocke, Brian McGinley, Fearghal Morgan, John Maher Reconfigurable Hardware Evolution Platform for a Spiking Neural Network Robotics Controller. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Genetic Algorithm, Evolutionary Computation, Reconfigurable Hardware, Spiking Neural Networks, FPAA
23Mythri Alle, Keshavan Varadarajan, Alexander Fell, S. K. Nandy 0001, Ranjani Narayan Compiling Techniques for Coarse Grained Runtime Reconfigurable Architectures. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Ricardo S. Ferreira 0001, Alex Damiany, Julio C. Goldner Vendramini, Tiago Teixeira, João M. P. Cardoso On Simplifying Placement and Routing by Extending Coarse-Grained Reconfigurable Arrays with Omega Networks. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Steffen Köhler, Jan Schirok, Jens Braunes, Rainer G. Spallek Efficiency of Dynamic Reconfigurable Datapath Extensions -- A Case Study. Search on Bibsonomy ARC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Vu Manh Tuan, Hideharu Amano A Preemption Algorithm for a Multitasking Environment on Dynamically Reconfigurable Processor. Search on Bibsonomy ARC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Ozana Silvia Dragomir, Elena Moscu Panainte, Koen Bertels, Stephan Wong Optimal Unroll Factor for Reconfigurable Architectures. Search on Bibsonomy ARC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Senthil Kumar Lakshmanan, Peter Tawdross, Andreas König 0001 Towards Generic On-the-Fly Reconfigurable Sensor Electronics for Embedded System- First Measurement Results of Reconfigurable Folded. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23David B. Thomas, Wayne Luk, Michael Stumpf Reconfigurable Hardware Acceleration of Canonical Graph Labelling. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Wagner Rodrigo Weinert, César Manuel Vargas Benítez, Heitor S. Lopes, Carlos Raimundo Erig Lima Simulation of the Dynamic Behavior of One-Dimensional Cellular Automata Using Reconfigurable Computing. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Kehuai Wu, Andreas Kanstein, Jan Madsen, Mladen Berekovic MT-ADRES: Multithreading on Coarse-Grained Reconfigurable Architecture. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Yong Dou, Jinhui Xu 0002, Guiming Wu The Implementation of a Coarse-Grained Reconfigurable Architecture with Loop Self-pipelining. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Yazhuo Dong, Yong Dou, Jie Zhou 0007 Optimized Generation of Memory Structure in Compiling Window Operations onto Reconfigurable Hardware. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Balal Ahmad, Ahmet T. Erdogan, Sami Khawam Architecture of a Dynamically Reconfigurable NoC for Adaptive Reconfigurable MPSoC. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Tarek A. El-Ghazawi, Dave Bennett, Daniel S. Poznanovic, Allan Cantle, Keith D. Underwood, Rob Pennington, Duncan A. Buell, Alan D. George, Volodymyr V. Kindratenko Reconfigurable supercomputing - Is high-performance reconfigurable computing the next supercomputing paradigm? Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Sara Román Navarro, Julio Septién, Hortensia Mecha, Daniel Mozos Constant Complexity Management of 2D HW Multitasking in Run-Time Reconfigurable FPGAs. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Jens Braunes, Rainer G. Spallek A Compiler-Oriented Architecture Description for Reconfigurable Systems. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Vu Manh Tuan, Yohei Hasegawa, Naohiro Katsura, Hideharu Amano Performance/Cost Trade-Off Evaluation for the DCT Implementation on the Dynamically Reconfigurable Processor. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Antonio Carlos Schneider Beck, Victor F. Gomes, Luigi Carro Dynamic Instruction Merging and a Reconfigurable Array: Dataflow Execution with Software Compatibility. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Marcelo Götz, Florian Dittmann 0001 Scheduling Reconfiguration Activities of Run-Time Reconfigurable RTOS Using an Aperiodic Task Server. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Gerd Van den Branden, Geert Braeckman, Abdellah Touhafi, Erik F. Dirkx Case Study: Implementation of a Virtual Instrument on a Dynamically Reconfigurable Platform. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 21101 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license