The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for simultaneous with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1962 (17) 1963-1966 (15) 1967-1969 (17) 1970-1973 (16) 1974-1976 (15) 1977-1978 (15) 1979-1980 (16) 1981-1982 (20) 1983-1984 (17) 1985 (21) 1986 (25) 1987 (27) 1988 (35) 1989 (43) 1990 (60) 1991 (47) 1992 (45) 1993 (62) 1994 (86) 1995 (111) 1996 (101) 1997 (134) 1998 (144) 1999 (203) 2000 (223) 2001 (293) 2002 (330) 2003 (412) 2004 (557) 2005 (585) 2006 (791) 2007 (839) 2008 (893) 2009 (647) 2010 (400) 2011 (440) 2012 (397) 2013 (522) 2014 (517) 2015 (597) 2016 (688) 2017 (654) 2018 (716) 2019 (770) 2020 (834) 2021 (836) 2022 (801) 2023 (827) 2024 (192)
Publication types (Num. hits)
article(7476) book(7) data(5) incollection(68) inproceedings(8393) phdthesis(104)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4716 occurrences of 2848 keywords

Results
Found 16053 publication records. Showing 16053 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
13Vimal K. Reddy, Eric Rotenberg, Sailashri Parthasarathy Understanding prediction-based partial redundant threading for low-overhead, high- coverage fault tolerance. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF redundant multithreading, simultaneous multithreading (SMT), slipstream processor, chip multiprocessor (CMP), branch prediction, transient faults, value prediction, time redundancy
13Jessica H. Tseng, Krste Asanovic A Speculative Control Scheme for an Energy-Efficient Banked Register Fil. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF speculative control, Low-power, superscalar, register file, simultaneous multithreading
13Jason Cong, Ashok Jagannathan, Glenn Reinman, Yuval Tamir Understanding the energy efficiency of SMT and CMP with multiclustering. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF energy efficiency, simultaneous multithreading, chip multiprocessing
13Muhamed F. Mudawar Scalable cache memory design for large-scale SMT architectures. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scalable multiported cache memory, simultaneous multithreaded architectures
13Christian A. Duncan, David Eppstein, Stephen G. Kobourov The geometric thickness of low degree graphs. Search on Bibsonomy SCG The full citation details ... 2004 DBLP  DOI  BibTeX  RDF geometric thickness, graph thickness, layered graphs, rectangle visibility, simultaneous embeddings, graph drawing
13Mary Kiemb, Kiyoung Choi Memory and architecture exploration with thread shifting for multithreaded processors in embedded systems. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF embedded systems, design space exploration, simultaneous multithreading, SMT
13Shi-Jie Deng, Shmuel S. Oren, A. P. Sakis Meliopoulos The Inherent Inefficiency of the Point-to-Point Congestion Revenue Right Auction. Search on Bibsonomy HICSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF financial transmission right, electricity auction, simultaneous feasibility, transmission pricing
13Takenori Koushiro, Toshinori Sato, Itsujiro Arita A trace-level value predictor for Contrail processors. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF traceconstruction, energy efficiency, simultaneous multithreading, value prediction, chip multi processors
13R. Venkatesha Prasad, Richard Hurni, H. S. Jamadagni, H. N. Shankar Deployment issues of a VoIP conferencing system in a Virtual Conferencing Environment. Search on Bibsonomy VRST The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Conference Server, Real-Time Audio, VCE, simultaneous speakers, SIP, VoIP
13Seungjin Choi, Andrzej Cichocki, Adel Belouchrani Second Order Nonstationary Source Separation. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF joint approximate diagonalization, noisy mixtures, simultaneous diagonalization, blind source separation, temporal correlations, nonstationarity
13Milena Radenkovic 0001, Chris Greenhalgh, Steve Benford Deployment issues for multi-user audio support in CVEs. Search on Bibsonomy VRST The full citation details ... 2002 DBLP  DOI  BibTeX  RDF real-time audio, simultaneous speakers, CVEs
13Alex Papalexopoulos, Harry Singh On the Various Design Options for Ancillary Services Markets. Search on Bibsonomy HICSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Electric power deregulation, operating reserves, sequential auctions, rational buyer, price reversals, auctions, simultaneous auctions
13Maribeth Back, Jonathan Cohen Page detection using embedded tags. Search on Bibsonomy UIST The full citation details ... 2000 DBLP  DOI  BibTeX  RDF embedded tags, page ID, page detection, simultaneous ID, smart documents, RFID, electronic books
13Pedro Marcuello, Antonio González 0001 Clustered speculative multithreaded processors. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1999 DBLP  DOI  BibTeX  RDF control-flow speculation, data value speculation, simultaneous multithreaded processors, dynamically scheduled processors, data dependance speculation, clustered processors
13Ravi Jain, Kiran Somalwar, John Werth, James C. Browne Heuristics for Scheduling I/O Operations. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Data transfer scheduling, graph edge coloring, I/O bottleneck, multiprocessor operating systems, simultaneous resource scheduling, parallel I/O, scheduling heuristics
13Antti Valmari, Konsta Karsisto, Manu Setälä Visualisation of Reduced Abstracted Behaviour as a Design Tool. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF reduced abstracted behaviour visualisation, software design tool, user-friendly formal method, incorrect actions, simultaneous analysis, communication protocol development, distributed system, formal specification, distributed processing, concurrency control, feedback, transport protocols, deadlocks, data visualisation, program diagnostics, graphical representation, livelocks, graphical display, design errors
13Gihyun Jung Comments on "Some Additions to Solution of Switching Equations Based on a Tabular Algebra". Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF simultaneous equations, commutativity, tabular expressions, Exclusive-OR, Boolean equations
13Heung-Yeung Shum, Martial Hebert, Katsushi Ikeuchi, Raj Reddy An Integral Approach to Free-Formed Object Modeling. Search on Bibsonomy ICCV The full citation details ... 1995 DBLP  DOI  BibTeX  RDF free-formed object modeling, multiple range images, statistically optimal object model reconstruction, simultaneous data aggregation, global resampling algorithm, partial object representations, view correspondences, spherical attribute image, weighted least-squares algorithm, resampled representations, mismatch robustness, computer vision, computational geometry, principal component analysis, object recognition, object recognition, image reconstruction, image registration, sensor fusion, multiple views, missing data, least squares approximations, noise robustness, integral approach
13Sandeep Pagey, Ajay Khoche, Erik Brunvand DFT for fast testing of self-timed control circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fast testing, self-timed control circuits, execution paths, simultaneous testing, OCCAM based circuit compiler, OCCAM program, self-timed macro-modules, modified modules, macromodules, fault diagnosis, logic testing, delays, design for testability, DFT, logic CAD, asynchronous circuits, translation, program compilers, automatic test software
13Serge J. Olszanskyj, James M. Lebak, Adam W. Bojanczyk Parallel algorithms for space-time adaptive processing. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF space-time adaptive processing, signal processing problem, IBM PowerParallel SP1 computer, simultaneous multiple least squares problem solving, multiple computational steps, optimal data distributions, parallel algorithms, parallel algorithms, parallel machines, least squares approximations, adaptive signal processing
13Charles M. Fiduccia Bused Hypercubes and Other Pin-Optimal Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF pin minimisation, simultaneous data exchange, pin-optimal networks, massively parallelarchitectures, chassis, bused hypercubeinterconnection network, clock tick, hypercube networks, processing elements, ports, chip, board
13Michel Cosnard, Pierre Fraigniaud A Performance Analysis of Network Topologies in Finding the Roots of a Polynomial. Search on Bibsonomy CONPAR The full citation details ... 1990 DBLP  DOI  BibTeX  RDF local memory parallel computers, simultaneous polynomial root-finders, Parallel algorithms, broadcasting, polynomial zeros
13Donald M. Topkis All-to-All Broadcast by Flooding in Communications Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF worst-case time complexity, simultaneous broadcast, suboptimality, spanning subtree, computational complexity, computer networks, communications networks, adaptive routing, flooding, FIFO, ARPANET, all-to-all broadcast, LIFO
13Ian Parberry A Note on Nondeterminism in Small, Fast Parallel Computers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF nondeterministic analogues, fast parallel computers, language classes, SC, NNC, NSC, deterministic Turing machine, polylog tape-head reversals, POLYLOGSPACE, simultaneous polynomial time, read-only input tape, read-write work tape, computational complexity, parallel computation, parallel machines, formal languages, nondeterminism, Turing machines, subset, NC
13Corneliu I. Toma Cellular Logic Array for High-Speed Signed Binary Number Multiplication. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF Four-bit arithmetic logic unit MC10181, high-speed 4 X 2 multiplier chip, multiplication cellular logic array, signed binary numbers, simultaneous multiplication by two digits of the multiplier
12Liangliang Cao, Andrey Del Pozo, Xin Jin 0001, Jiebo Luo, Jiawei Han 0001, Thomas S. Huang RankCompete: simultaneous ranking and clustering of web photos. Search on Bibsonomy WWW The full citation details ... 2010 DBLP  DOI  BibTeX  RDF image ranking, pagerank, image summarization
12Ken Hinckley, Koji Yatani, Michel Pahud, Nicole Coddington, Jenny Rodenhouse, Andy Wilson, Hrvoje Benko, Bill Buxton Manual deskterity: an exploration of simultaneous pen + touch direct input. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2010 DBLP  DOI  BibTeX  RDF gestures, tabletop, touch, pen, tablets, bimanual input
12Christopher Dyken, Morten Dæhlen, Thomas Sevaldrud Simultaneous curve simplification. Search on Bibsonomy J. Geogr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF JEL C63
12Mitch Bryson, Salah Sukkarieh Architectures for Cooperative Airborne Simultaneous Localisation and Mapping. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Active SLAM, Information, UAVs, SLAM, Cooperative control
12Diego Rodríguez-Losada, Pablo San Segundo, Fernando Matía, Luis Pedraza Dual FastSLAM: Dual Factorization of the Particle Filter Based Solution of the Simultaneous Localization and Mapping Problem. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Mobile robots, Particle filter, SLAM, Indoor environments
12Zhenghao Zhang, Yuanyuan Yang 0001, Miao Zhao Enhancing Downlink Performance in Wireless Networks by Simultaneous Multiple Packet Transmission. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Yan Chen 0007, Oscar C. Au, Xiaopeng Fan Simultaneous MAP-Based Video Denoising and Rate-Distortion Optimized Video Encoding. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Marc Boullé Optimum simultaneous discretization with data grid models in supervised classification: a Bayesian model selection approach. Search on Bibsonomy Adv. Data Anal. Classif. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Mathematics Subject Classification (2000) 62H17, 62H20, 62H30
12Chao-Ton Su, Yu-Hsiang Hsiao Multiclass MTS for Simultaneous Feature Selection and Classification. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Jason Cong, Yiping Fan, Junjuan Xu Simultaneous resource binding and interconnection optimization based on a distributed register-file microarchitecture. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF distributed register file, Behavioral synthesis, resource binding
12Yuanhong Li, Ming Dong 0001, Jing Hua 0001 Simultaneous Localized Feature Selection and Model Detection for Gaussian Mixtures. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Florence Azaïs, Yves Bertrand, Michel Renovell An analysis of the timing behavior of CMOS digital blocks under Simultaneous Switching Noise conditions. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Yanhua Chen, Lijun Wang, Ming Dong 0001 Semi-supervised Document Clustering with Simultaneous Text Representation and Categorization. Search on Bibsonomy ECML/PKDD (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Semi-supervised co-clustering, Non-negative matrix factorization
12Evren Imre, Marie-Odile Berger, Nicolas Noury Improved inverse-depth parameterization for monocular simultaneous localization and mapping. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Claudio Rossi 0001, Leyre Aldama, Antonio Barrientos Simultaneous task subdivision and allocation for teams of heterogeneous robots. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Ana Ramírez Chang, John F. Canny Illuminac: simultaneous naming and configuration for workspace lighting control. Search on Bibsonomy IUI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF natural speech interfaces, non-negative matrix factorization, environment control
12Pedro Martins 0004, Jorge P. Batista Simultaneous Identity and Expression Recognition Using Face Geometry on Low Dimensional Manifolds. Search on Bibsonomy IbPRIA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Laplacian EigenMaps, Identity and Expression Manifolds, Support Vector Machines, Active Appearance Models
12Mohammed A. S. Abdallah, Omar S. Elkeelany, Ali T. Alouani Simultaneous multi-channel data acquisition with variable sampling frequencies using a scalable adaptive synchronous controller. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adc, sd card, fpga, real-time, multiplexing, data acquisition, fft
12Yu-Ju Hong, Ya-Shih Huang, Juinn-Dar Huang Simultaneous data transfer routing and scheduling for interconnect minimization in multicycle communication architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Yifang Liu, Jiang Hu A new algorithm for simultaneous gate sizing and threshold voltage assignment. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF threshold voltage assignment, gate sizing
12Kyung-Sik Choi, Bong-Keun Song, Suk-Gyu Lee Hybrid Filter Based Simultaneous Localization and Mapping for a Mobile Robot. Search on Bibsonomy ISNN (3) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Hybrid filter, EFK, RBF algorithm, Neural networks, Mobile robot, SLAM
12Jie Gao 0020, Qingwei Zhao, Ta Li, Yonghong Yan 0002 Simultaneous Synchronization of Text and Speech for Broadcast News Subtitling. Search on Bibsonomy ISNN (3) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Live broadcast news subtitling, Text and speech synchronization, Hidden Markov model
12Rudolph L. Mappus IV, Paul M. Corballis, Melody Moore Jackson Enhancing brain-machine interface throughput using simultaneous activation detection. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2009 DBLP  DOI  BibTeX  RDF electroencephalography, brain-machine interface
12Alexander Barth, Jan Siegemund, Uwe Franke, Wolfgang Förstner Simultaneous Estimation of Pose and Motion at Highly Dynamic Turn Maneuvers. Search on Bibsonomy DAGM-Symposium The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Renatas Jakushokas, Eby G. Friedman Simultaneous shield and repeater insertion. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF delay, interconnects, noise, power, area
12Hai Li 0006, Zhong Xue, Lei Guo 0002, Stephen T. C. Wong Simultaneous Consideration of Spatial Deformation and Tensor Orientation in Diffusion Tensor Image Registration Using Local Fast Marching Patterns. Search on Bibsonomy IPMI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF tensor reorientation, image registration, Diffusion tensor imaging, fast marching
12Xu He, Sheqin Dong, Yuchun Ma, Xianlong Hong Simultaneous buffer and interlayer via planning for 3D floorplanning. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Ju-Yueh Lee, Yu Hu 0002, Rupak Majumdar, Lei He 0001 Simultaneous test pattern compaction, ordering and X-filling for testing power reduction. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Kenzo Van Craeynest, Stijn Eyerman, Lieven Eeckhout MLP-Aware Runahead Threads in a Simultaneous Multithreading Processor. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Kohei Inoue, Kenji Hara, Kiichi Urahama Robust Simultaneous Low Rank Approximation of Tensors. Search on Bibsonomy PSIVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Shailender Chaudhry, Robert Cypher, Magnus Ekman, Martin Karlsson, Anders Landin, Sherman Yip, Håkan Zeffer, Marc Tremblay Simultaneous speculative threading: a novel pipeline architecture implemented in sun's rock processor. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF checkpoint-based architecture, hardware speculation, sst, chip multiprocessor, cmp, instruction-level parallelism, processor architecture, memory-level parallelism
12Hochang Jang, Taewhan Kim Simultaneous clock buffer sizing and polarity assignment for power/ground noise minimization. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF clock synthesis, power/ground noise, buffer insertion
12Bernhard Beckermann, George Labahn Fraction-free computation of simultaneous padé approximants. Search on Bibsonomy ISSAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fraction-free arithmetic, order bases, rational approximation
12Sebastian Thrun, John J. Leonard Simultaneous Localization and Mapping. Search on Bibsonomy Springer Handbook of Robotics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Xinzheng Zhang 0001, Ahmad B. Rad, Yiu-Kwong Wong A Robust Regression Model for Simultaneous Localization and Mapping in Autonomous Mobile Robot. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Segment-based map, SLAM, Autonomous mobile robot, Robust regression
12Hanhoon Park, Moon-Hyun Lee, Byung-Kuk Seo, Jong-Il Park, Moon-Sik Jeong, Tae-Suh Park, Yongbeom Lee, Sang Ryong Kim Simultaneous Geometric and Radiometric Adaptation to Dynamic Surfaces With a Mobile Projector-Camera System. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Zhe-Wei Jiang, Yao-Wen Chang An Optimal Network-Flow-Based Simultaneous Diode and Jumper Insertion Algorithm for Antenna Fixing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Weiguo Sheng, Xiaohui Liu, Michael C. Fairhurst A Niching Memetic Algorithm for Simultaneous Clustering and Feature Selection. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF niching method, genetic algorithm, Clustering, feature selection, local search, memetic algorithm
12Lei Cheng 0001, Deming Chen, Martin D. F. Wong A fast simultaneous input vector generation and gate replacement algorithm for leakage power reduction. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Input vector control, gate replacement, leakage reduction
12Lieven De Lathauwer, Joséphine Castaing Blind Identification of Underdetermined Mixtures by Simultaneous Matrix Diagonalization. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Peter Greistorfer, Arne Løkketangen, Stefan Voß 0001, David L. Woodruff Experiments concerning sequential versus simultaneous maximization of objective function and distance. Search on Bibsonomy J. Heuristics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Diversity, Distance, Variety
12Qing Song 0001, James C. Spall, Yeng Chai Soh, Jie Ni Robust Neural Network Tracking Controller Using Simultaneous Perturbation Stochastic Approximation. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Sebastian Thrun Simultaneous Localization and Mapping. Search on Bibsonomy Robotics and Cognitive Approaches to Spatial Mapping The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Damith Chandana Herath, Sarath Kodagoda, Gamini Dissanayake New framework for Simultaneous Localization and Mapping: Multi map SLAM. Search on Bibsonomy ICRA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Kenji Tahara, Suguru Arimoto, Masahiro Sekimoto, Morio Yoshida, Zhi Wei Luo On iterative learning control for simultaneous force/position trajectory tracking by using a 5 D.O.F. robotic thumb under non-holonomic rolling constraints. Search on Bibsonomy ICRA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Yanif Ahmad, Olga Papaemmanouil, Ugur Çetintemel, Jennie Rogers Simultaneous Equation Systems for Query Processing on Continuous-Time Data Streams. Search on Bibsonomy ICDE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Astrid Franz, Robin Wolz, Tobias Klinder, Cristian Lorenz, Hans Barschdorf, Thomas Blaffert, Sebastian P. M. Dries, Steffen Renisch Simultaneous Model-Based Segmentation of Multiple Objects. Search on Bibsonomy Bildverarbeitung für die Medizin The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Kun Qian 0005, Xudong Ma, Xianzhong Dai Simultaneous robot Localization and Person Tracking using Rao-Blackwellised Particle Filters with multi-modal sensors. Search on Bibsonomy IROS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Nashiely Pineda-Alonso, Diego R. Martín, Qin Xu, Puneet Sharma, Miriam Vos, Xiaoping Hu 0001 High speed multiple echo acquisition (HISTO): A rapid and simultaneous assessment of fat and iron content in liver by 1HMRS, validation on phantoms and patients. Search on Bibsonomy ISBI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Adrian Rengle, Hélène Ratiney, Adriana Bucur, Sophie Cavassila, Olivier Beuf Magnetic resonance imaging (MRI) and spectroscopy (MRS) using simultaneous 2-channel acquisitions: Application for mouse brain examination by reconfiguration of a "standard" Bruker spectrometer. Search on Bibsonomy ISBI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Jonathan Barre, Christine Rochange, Pascal Sainrat A Predictable Simultaneous Multithreading Scheme for Hard Real-Time. Search on Bibsonomy ARCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Yan Chen 0007, Oscar C. Au Simultaneous RD-optimized rate control and video de-noising. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Jong Chul Ye, Su Yeon Lee Non-iterative exact inverse scattering using simultaneous orthogonal matching pursuit (S-OMP). Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Paulo Trigo, Helder Coelho Decisions with multiple simultaneous goals and uncertain causal effects. Search on Bibsonomy IFIP AI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Fabien Servant, Éric Marchand, Pascal Houlier, Isabelle Marchal Visual planes-based simultaneous localization and model refinement for augmented reality. Search on Bibsonomy ICPR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Osamu Kaneko, Makoto Miyachi, Takao Fujii Simultaneous updating of a model and a controller based on the data-driven fictitious controller. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Carles Batlle, Arnau Dòria-Cerezo, Gerardo Espinosa-Pérez Simultaneous IDA-passivity-based control of a wound rotor synchronous motor. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Hugues Rafaralahy, Edouard Richard, Mohamed Boutayeb, Michel Zasadzinski Simultaneous observer based sensor diagnosis and speed estimation of Unmanned Aerial Vehicle. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Heng Huang, Chris H. Q. Ding, Dijun Luo, Tao Li 0001 Simultaneous tensor subspace selection and clustering: the equivalence of high order svd and k-means clustering. Search on Bibsonomy KDD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 2dsvd, hosvd, k-means clustering
12Nagarajan Venkateswaran, Vinoth Krishnan Elangovan, Karthik Ganesan 0006, T. R. S. Sagar, S. Aananthakrishanan, S. Ramalingam, Shyamsundar Gopalakrishnan, Madhavan Manivannan, Deepak Srinivasan, Viswanath Krishnamurthy, Karthik Chandrasekar 0001, Viswanath Venkatesan, Balaji Subramaniam, V. Sangkar, Aravind Vasudevan, Shrikanth Ganapathy, Sriram Murali, M. Thyagarajan On the concept of simultaneous execution of multiple applications on hierarchically based cluster and the silicon operating system. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Rafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López 0001, José Duato The impact of out-of-order commit in coarse-grain, fine-grain and simultaneous multithreaded architectures. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Yinfei Pan, Ying Zhang, Kenneth Chiu Simultaneous transducers for data-parallel XML parsing. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Stefan B. Williams, Oscar Pizarro, Ian Mahon, Matthew Johnson-Roberson Simultaneous Localisation and Mapping and Dense Stereoscopic Seafloor Reconstruction Using an AUV. Search on Bibsonomy ISER The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Anand Subramanian 0001, Lucídio dos Anjos Formiga Cabral An ILS Based Heuristic for the Vehicle Routing Problem with Simultaneous Pickup and Delivery and Time Limit. Search on Bibsonomy EvoCOP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Sebastian Faust, Emilia Käsper, Stefan Lucks Efficient Simultaneous Broadcast. Search on Bibsonomy Public Key Cryptography The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12J. Joseph Fowler, Carsten Gutwenger, Michael Jünger, Petra Mutzel, Michael Schulz 0001 An SPQR-Tree Approach to Decide Special Cases of Simultaneous Embedding with Fixed Edges. Search on Bibsonomy GD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Katsuhiko Ishiguro, Takeshi Yamada, Naonori Ueda Simultaneous clustering and tracking unknown number of objects. Search on Bibsonomy CVPR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Yong Sin Kim, Sung-Mo Kang A 8-Gb/s/pin current mode multi-level simultaneous bidirectional I/O. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Huiping Li, Demin Xu, Yao Yao 0003, Fubin Zhang Strong Tracking Filter Simultaneous Localization and Mapping Algorithm. Search on Bibsonomy CSSE (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Xiumei Yue Improved Simultaneous Perturbation Stochastic Approximation and Its Application in Reinforcement Learning. Search on Bibsonomy CSSE (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Fadi Dornaika, Franck Davoine Simultaneous tracking and facial expression recognition using multiperson and multiclass autoregressive models. Search on Bibsonomy FG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12N. Ranganathan, Upavan Gupta, Venkataraman Mahalingam Simultaneous optimization of total power, crosstalk noise, and delay under uncertainty. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optimization, delay, power, gate sizing, crosstalk noise, fuzzy programming
12Guénaël Cabanes, Younès Bennani A local density-based simultaneous two-level algorithm for topographic clustering. Search on Bibsonomy IJCNN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Tsuyoshi Mizumoto, Tomoyuki Ohta, Yoshiaki Kakuda Route-Split Routing with Resiliency to Simultaneous Failure for Mobile Ad Hoc Networks. Search on Bibsonomy ICDCS Workshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Xin Fu, Wangyuan Zhang, Tao Li 0006, José A. B. Fortes Optimizing Issue Queue Reliability to Soft Errors on Simultaneous Multithreaded Architectures. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 16053 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license