The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for superscalar with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1991 (24) 1992 (25) 1993 (28) 1994 (30) 1995 (50) 1996 (57) 1997 (50) 1998 (46) 1999 (57) 2000 (54) 2001 (64) 2002 (51) 2003 (77) 2004 (81) 2005 (83) 2006 (74) 2007 (54) 2008 (45) 2009 (26) 2010 (22) 2011-2012 (21) 2013 (15) 2014-2015 (17) 2016-2018 (19) 2019-2021 (17) 2022-2024 (6)
Publication types (Num. hits)
article(253) book(2) incollection(1) inproceedings(821) phdthesis(16)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1160 occurrences of 532 keywords

Results
Found 1093 publication records. Showing 1093 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Osman Allam, Stijn Eyerman, Lieven Eeckhout An efficient CPI stack counter architecture for superscalar processors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Michael Andersch, Chi Ching Chi, Ben H. H. Juurlink Programming parallel embedded and consumer applications in OpenMP superscalar. Search on Bibsonomy PPoPP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Wen-mei W. Hwu Superscalar Processors. Search on Bibsonomy Encyclopedia of Parallel Computing The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Jiongyao Ye, Yu Wan 0002, Takahiro Watanabe A New Recovery Mechanism in Superscalar Microprocessors by Recovering Critical Misprediction. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Jongmyon Kim, Linda M. Wills, D. Scott Wills Color-Aware Instructions for Embedded Superscalar Processors. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Bijan Alizadeh, Masahiro Fujita Debugging and optimizing high performance superscalar out-of-order processors using formal verification techniques. Search on Bibsonomy ISQED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Niket Kumar Choudhary, Salil V. Wadhavkar, Tanmay A. Shah, Hiran Mayukh, Jayneel Gandhi, Brandon H. Dwiel, Sandeep Navada, Hashem Hashemi Najaf-abadi, Eric Rotenberg FabScalar: composing synthesizable RTL designs of arbitrary cores within a canonical superscalar template. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Elham Safi, Andreas Moshovos, Andreas G. Veneris On the Latency and Energy of Checkpointed Superscalar Register Alias Tables. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Enric Tejedor, Rosa M. Badia, Romina Royo, Josep Lluis Gelpí Enabling HMMER for the Grid with COMP Superscalar. Search on Bibsonomy ICCS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Huiba Li, Shengyun Liu, Yuxing Peng 0001, Dongsheng Li 0001, Hangjun Zhou, Xicheng Lu Superscalar communication: A runtime optimization for distributed applications. Search on Bibsonomy Sci. China Inf. Sci. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Sebastián Reyes, Camelia Muñoz-Caro, Alfonso Niño, Raül Sirvent, Rosa M. Badia Monitoring and steering Grid applications with GRID superscalar. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Gyu Sang Choi, Chita R. Das A Superscalar software architecture model for Multi-Core Processors (MCPs). Search on Bibsonomy J. Syst. Softw. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Mario Schölzel Software-based self-repair of statically scheduled superscalar data paths. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Sandeep Navada, Niket Kumar Choudhary, Eric Rotenberg Criticality-driven superscalar design space exploration. Search on Bibsonomy PACT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Yoav Etsion, Felipe Cabarcas, Alejandro Rico, Alex Ramírez, Rosa M. Badia, Eduard Ayguadé, Jesús Labarta, Mateo Valero Task Superscalar: An Out-of-Order Task Pipeline. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Jörg Mische, Irakli Guliashvili, Sascha Uhrig, Theo Ungerer How to Enhance a Superscalar Processor to Provide Hard Real-Time Capable In-Order SMT. Search on Bibsonomy ARCS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Jongbok Lee A Superscalar Processor Model for Limited Functional Units Using Instruction Dependencies. Search on Bibsonomy CATA The full citation details ... 2010 DBLP  BibTeX  RDF
17Ritu Baniwal, Kumar Sambhav Pandey Recent Trends in Superscalar Architecture to Exploit More Instruction Level Parallelism. Search on Bibsonomy ICT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Meltem Ozsoy, Yusuf Onur Koçberber, Mehmet Kayaalp 0001, Oguz Ergin Dynamic register file partitioning in superscalar microprocessors for energy efficiency. Search on Bibsonomy ICCD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Faheem Sheikh, Shahid Masud, Rehan Ahmed Superscalar architecture design for high performance DSP operations. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Arpad Gellert, Adrian Florea, Lucian N. Vintan Exploiting selective instruction reuse and value prediction in a superscalar architecture. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Elham Safi, Andreas Moshovos, Andreas G. Veneris A physical-level study of the compacted matrix instruction scheduler for dynamically-scheduled superscalar processors. Search on Bibsonomy ICSAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Jorge Ortiz 0002 A Reconfigurable Superscalar Processor Architecture for FPGA-based Designs. Search on Bibsonomy CDES The full citation details ... 2009 DBLP  BibTeX  RDF
17Nasir Mohyuddin, Kimish Patel, Massoud Pedram Deterministic clock gating to eliminate wasteful activity due to wrong-path instructions in out-of-order superscalar processors. Search on Bibsonomy ICCD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Raül Sirvent Pardell GRID superscalar: a programming model for the Grid. Search on Bibsonomy 2009   RDF
17Jorge Ortiz 0002 Synthesis Techniques for Semi-Custom Dynamically Reconfigurable Superscalar Processors. Search on Bibsonomy 2009   RDF
17Christopher B. Smith, David R. Mandel, Eugene John A superscalar simulation employing poisson distributed stalls. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Wlodzimierz Funika, Piotr Machner, Marian Bubak, Rosa M. Badia, Raül Sirvent Performance Monitoring of GRID superscalar: Summing up. Search on Bibsonomy CoreGRID Workshop on Grid Middleware The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Xianfeng Zhao Structural Optimization on Superscalar Processors. Search on Bibsonomy CSSE (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Tameesh Suri Improving instruction level parallelism through reconfigurable units in superscalar processors. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Bassam Jamil Mohd, Earl E. Swartzlander Jr., Adnan Aziz The Hazard-Free Superscalar Pipeline Fast Fourier Transform Architecture and Algorithm. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Virginia Escuder, Raúl Durán, Rafael Rico Analysis of x86 ISA Condition Codes Influence on Superscalar Execution. Search on Bibsonomy HiPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Condition codes, Graph theory, Instruction level parallelism, Instruction set architecture
17Shijian Zhang, Weiwu Hu CREA: A Checkpoint Based Reliable Micro-architecture for Superscalar Processors. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jonathan Evans, Kyle Rupnow, Katherine Compton Reconfigurable Functional Units for Scientific Superscalar Processors. Search on Bibsonomy FPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Ani Anciaux-Sedrakian, Rosa M. Badia, Raül Sirvent, Josep M. Pérez, Thilo Kielmann, André Merzky GRID superscalar and job mapping on the reliable grid resources. Search on Bibsonomy CoreGRID Workshop - Making Grids Work The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Rosa M. Badia, Raül Sirvent, Marian Bubak, Wlodzimierz Funika, Piotr Machner Performance monitoring of GRID superscalar with OCM-G/G-PM: improvements. Search on Bibsonomy CoreGRID Workshop - Making Grids Work The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Ian Michael Caulfield Complexity-effective superscalar embedded processors using instruction-level distributed processing. Search on Bibsonomy 2007   RDF
17Yasuo Sugure, Seiji Takeuchi, Yuichi Abe, Hiromichi Yamada, Kazuya Hirayanagi, Akihiko Tomita, Kesami Hagiwara, Takeshi Kataoka, Takanori Shimura Low-Latency Superscalar and Small-Code-Size Microcontroller Core for Automotive, Industrial, and PC-Peripheral Applications. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Juan L. Aragón, José M. González, Antonio González 0001 Control Speculation for Energy-Efficient Next-Generation Superscalar Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low-power design, processor architecture, energy-aware systems, Control speculation
17Tsai Chi Huang, Linda M. Wills, Roy W. Melton, Cecil O. Alford Predicting communication protocol performance on superscalar architectures using instruction dependency. Search on Bibsonomy Perform. Evaluation The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Stephen Hill Design of a reusable 1GHz, superscalar ARM processor. Search on Bibsonomy Hot Chips Symposium The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Oguz Ergin Exploiting Narrow Values for Energy Efficiency in the Register Files of Superscalar Microprocessors. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17P. J. Joseph, Kapil Vaswani, Matthew J. Thazhuthaveetil A Predictive Performance Model for Superscalar Processors. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Raül Sirvent, Rosa M. Badia, Natalia Currle-Linde, Michael M. Resch GRID Superscalar and GriCoL: Integrating Different Programming Approaches. Search on Bibsonomy CoreGRID Integration Workshop The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Rosa M. Badia, Raül Sirvent, Marian Bubak, Wlodzimierz Funika, Piotr Machner Performance monitoring of GRID superscalar with OCM-G/G-PM: integration issues. Search on Bibsonomy CoreGRID Integration Workshop The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Yongxin Zhu 0001, Weng-Fai Wong, Stefan Andrei Co-optimization of Performance and Power in a Superscalar Processor Design. Search on Bibsonomy EUC Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Toshinori Sato, Akihiro Chiyonobu Evaluating the Impact of Fault Recovery on Superscalar Processor Performance. Search on Bibsonomy PRDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Jessica H. Tseng Banked microarchitectures for complexity-effective superscalar microprocessors. Search on Bibsonomy 2006   RDF
17Timothy M. Jones 0001 Compiler-directed energy savings in superscalar processors. Search on Bibsonomy 2006   RDF
17Jean-Luc Gaudiot, Jung-Yup Kang, Won Woo Ro Techniques to Improve Performance Beyond Pipelining: Superpipelining, Superscalar, and VLIW. Search on Bibsonomy Adv. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Douglas A. Lyon Java Optimization for Superscalar and Vector Architectures. Search on Bibsonomy J. Object Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Toshinori Sato, Akihiro Chiyonobu An Energy-Efficient Clustered Superscalar Processor. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Oleg Bessonov, Dominique Fougère, Bernard Roux Development of efficient computational kernels and linear algebra routines for out-of-order superscalar processors. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Julio Sahuquillo, Salvador Petit, Ana Pont, Veljko M. Milutinovic Exploring the performance of split data cache schemes on superscalar processors and symmetric multiprocessors. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Rafael Rico, Juan Ignacio Pérez, José Antonio de Frutos The impact of x86 instruction set architecture on superscalar processing. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Pierre Salverda, Craig B. Zilles A Criticality Analysis of Clustering in Superscalar Processors. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Virendra Singh, Michiko Inoue, Kewal K. Saluja, Hideo Fujiwara Testing Superscalar Processors in Functional Mode. Search on Bibsonomy FPL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Mihai Budiu, Pedro V. Artigas, Seth Copen Goldstein Dataflow: A Complement to Superscalar. Search on Bibsonomy ISPASS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Peng Zhou, Soner Önder, Steve Carr 0001 Fast branch misprediction recovery in out-of-order superscalar processors. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF processor state, checkpoint, recovery, branch misprediction
17Manuel A. (Manuel Alejandro) Pajuelo González Speculative Vectorization for Superscalar Processors. Search on Bibsonomy 2005   RDF
17Dean G. Bair, Steven M. German, William D. Wollyung, Edward J. Kaminski Jr., James Schafer, Michael P. Mullen, William J. Lewis, Rebecca Wisniewski, Joerg Walter, Steven Mittermaier, Visda Vokhshoori, Robert J. Adkins, Michael Halas, Thomas Ruane, Ursel Hahn Functional verification of the z990 superscalar, multibook microprocessor complex. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Gurhan Kucuk, Dmitry Ponomarev 0001, Oguz Ergin, Kanad Ghose Complexity-Effective Reorder Buffer Designs for Superscalar Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Chao-Chin Wu Embedding a superscalar processor onto a chip multiprocessor. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Vladimir Lazarov, Maria Marinova Dependencies evaluation in superscalar processors. Search on Bibsonomy CompSysTech The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Mars Lan, Morteza Biglari-Abhari An Adaptive Superscalar Architecture for Embedded Systems. Search on Bibsonomy ESA/VLSI The full citation details ... 2004 DBLP  BibTeX  RDF
17Shahzad Nazar, Behrooz A. Shirazi, Sungyong Jung Performance/Energy Efficiency Analysis of Register Files in Superscalar Processors. Search on Bibsonomy ESA/VLSI The full citation details ... 2004 DBLP  BibTeX  RDF
17Axel Böttcher Visualizing the MMIX superscalar pipeline: not only for teaching purposes. Search on Bibsonomy WCAE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Joan-Manuel Parcerisa Design of Clustered Superscalar Microarchitectures. Search on Bibsonomy 2004   RDF
17Miroslav N. Velev, Randal E. Bryant Effective use of Boolean satisfiability procedures in the formal verification of superscalar and VLIW microprocessors. Search on Bibsonomy J. Symb. Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Daniel Piso Fernandez, José-Alejandro Piñeiro, Javier D. Bruguera Analysis of the impact of different methods for division/square root computation in the performance of a superscalar microprocessor. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Parimal Patel, Venkataramana Reddipalli Design Considerations of Implementing a Superscalar CPU in FPGA. Search on Bibsonomy CATA The full citation details ... 2003 DBLP  BibTeX  RDF
17Elena Zaharieva-Stoyanova, Lorentz Jäntschi Application of software data dependency detection algorithm in superscalar computer architecture. Search on Bibsonomy CompSysTech The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Hee-Jun Yoo, Jin-Young Choi Process Algebraic Model of Superscalar Processor Programs for Instruction Level Timing Analysis. Search on Bibsonomy PaCT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Oleg Bessonov, Dominique Fougère, Bernard Roux Analysis of Architecture and Design of Linear Algebra Kernels for Superscalar Processors. Search on Bibsonomy PaCT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF linear algebra kernels, LINPACK benchmark, performance measurements, instruction level parallelism, cache memories, microarchitecture, out-of-order processors
17Feng-Jiann Shiao, Jong-Jiann Shieh An Issue Logic for Superscalar Microprocessors. Search on Bibsonomy CAINE The full citation details ... 2003 DBLP  BibTeX  RDF
17James C. Hoe Superscalar out-of-order demystified in four instructions. Search on Bibsonomy WCAE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Jih-Ching Chiu, Michael Jin-Yi Wang, Chung-Ping Chung Design of Instruction Address Queue for High Degree X86 Superscalar Architecture. Search on Bibsonomy J. Inf. Sci. Eng. The full citation details ... 2002 DBLP  BibTeX  RDF
17Toshinori Sato, Itsujiro Arita Simplifying Instruction Issue Logic in Superscalar Processors. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Sascha Wennekers, Christian Siemers Reconfigurable RISC - A New Approach for Space-Efficient Superscalar Microprocessor Architecture. Search on Bibsonomy ARCS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Siddharth Rele, Santosh Pande, Soner Önder, Rajiv Gupta 0001 Optimizing Static Power Dissipation by Functional Units in Superscalar Processors. Search on Bibsonomy CC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Zheng-Kuo Wu, Jong-Jiann Shieh Block Based Fetch Engine for Superscalar Processors. Search on Bibsonomy CAINE The full citation details ... 2002 DBLP  BibTeX  RDF
17R.-Ming Shiu, Hui-Yue Hwang, Jean Jyh-Jiun Shann Aggressive Schduling for Memory Accesses of CISC Superscalar Microprocessors. Search on Bibsonomy J. Inf. Sci. Eng. The full citation details ... 2001 DBLP  BibTeX  RDF
17Pierre Michaud, André Seznec, Stéphan Jourdan An Exploration of Instruction Fetch Requirement in Out-of-Order Superscalar Processors. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Bernard Goossens Handling 16 instructions per cycle in a superscalar processor. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Yasunori Kimura, Kouya Shimura, Haruko Nishimoto, Motoyuki Kawaba, Takeshi Eguchi Building a design support tool for superscalar processors and its case studies. Search on Bibsonomy Syst. Comput. Jpn. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Masahiro Goshima, Kengo Nishino, Toshiaki Kitamura, Yasuhiko Nakashima, Shinji Tomita, Shin-ichiro Mori A high-speed dynamic instruction scheduling scheme for superscalar processors. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Ioannis Vakalis A Comparison Study of the Behavior of Equivalent Algorithms in Fault Injection Experiments in Parallel Superscalar Architectures. Search on Bibsonomy SAFECOMP The full citation details ... 2001 DBLP  BibTeX  RDF
17Sid Ahmed Ali Touati Register Saturation in Superscalar and VLIW Codes. Search on Bibsonomy CC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Julien Sébot, Nathalie Drach-Temam Memory Bandwidth: The True Bottleneck of SIMD Multimedia Performance on a Superscalar Processor. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Gabriel H. Loh A time-stamping algorithm for efficient performance estimation of superscalar processors. Search on Bibsonomy SIGMETRICS/Performance The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Yung-Chung Wu, Jong-Jiann Shieh A Multiple Blocks Fetch Engine for High Performance Superscalar Processors. Search on Bibsonomy PDCS The full citation details ... 2001 DBLP  BibTeX  RDF
17Matt Postiff, David A. Greene, Steven E. Raasch, Trevor N. Mudge Integrating superscalar processor components to implement register caching. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Miroslav N. Velev, Randal E. Bryant Effective Use of Boolean Satisfiability Procedures in the Formal Verification of Superscalar and VLIW Microprocessors. Search on Bibsonomy DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Frank P. Burns, Albert Koelmans, Alexandre Yakovlev WCET Analysis of Superscalar Processors Using Simulation With Coloured Petri Nets. Search on Bibsonomy Real Time Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Andrew Wolfe, Derek B. Noonburg A Superscalar 3D Graphics Engine. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2000 DBLP  BibTeX  RDF
17S. J. Davis, C. J. Elston, Paul A. Findlay Register bypassing in an asynchronous superscalar processor. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17K. S. Loh, Weng-Fai Wong Multiple context multithreaded superscalar processor architecture. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Amirali Baniasadi, Andreas Moshovos Instruction distribution heuristics for quad-cluster, dynamically-scheduled, superscalar processors. Search on Bibsonomy MICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Andreas Moshovos, Gurindar S. Sohi Memory Dependence Speculation Tradeoffs in Centralized, Continuous-Window Superscalar Processors. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Scheduling, Cache, Memory, Instruction Level Parallelism
17Fujio Ishihara, Christian Klinger, Ken-ichi Agawa Clock design of 300MHz 128-bit 2-way superscalar microprocessor. Search on Bibsonomy ASP-DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 1093 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license