The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for threads with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1977-1988 (18) 1989-1990 (25) 1991-1992 (32) 1993 (25) 1994 (29) 1995 (40) 1996 (57) 1997 (64) 1998 (63) 1999 (117) 2000 (117) 2001 (122) 2002 (157) 2003 (149) 2004 (189) 2005 (206) 2006 (248) 2007 (305) 2008 (325) 2009 (281) 2010 (130) 2011 (42) 2012 (43) 2013 (28) 2014 (35) 2015 (37) 2016 (34) 2017 (34) 2018 (38) 2019 (43) 2020 (28) 2021 (25) 2022 (31) 2023 (44) 2024 (7)
Publication types (Num. hits)
article(508) book(4) incollection(14) inproceedings(2624) phdthesis(18)
Venues (Conferences, Journals, ...)
IPDPS(102) CoRR(59) PPoPP(52) ISCA(51) Euro-Par(48) PLDI(46) ICS(37) ASPLOS(36) ICPP(35) IEEE Trans. Parallel Distribut...(35) MICRO(35) HPCA(32) PaCT(30) LCPC(25) ICPADS(23) PVM/MPI(22) More (+10 of total 985)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3894 occurrences of 1643 keywords

Results
Found 3168 publication records. Showing 3168 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Vineet Kahlon, Nishant Sinha 0001, Erik Kruus, Yun Zhang Static data race detection for concurrent programs with asynchronous calls. Search on Bibsonomy ESEC/SIGSOFT FSE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF asynchronous programs, static data race detection, bootstrapping, pointer analysis
17Degui Feng, Guanjun Jiang, Tiefei Zhang, Wei Hu 0001, Tianzhou Chen, Mingteng Cao SPMTM: A Novel ScratchPad Memory Based Hybrid Nested Transactional Memory Framework. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF synchronization, Chip multiprocessor, transactional memory, scratchpad memory
17Geoffrey Chu, Christian Schulte 0001, Peter J. Stuckey Confidence-Based Work Stealing in Parallel Constraint Programming. Search on Bibsonomy CP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17David Tarjan, Jiayuan Meng, Kevin Skadron Increasing memory miss tolerance for SIMD cores. Search on Bibsonomy SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Carlos Madriles, Pedro López 0001, Josep M. Codina, Enric Gibert, Fernando Latorre, Alejandro Martínez, Raúl Martínez, Antonio González 0001 Boosting single-thread performance in multi-core systems through fine-grain multi-threading. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF core-fusion, single-thread performance, multicore, automatic parallelization, thread-level parallelism, speculative multithreading
17Dongsoo Kang, Chen Liu 0001, Jean-Luc Gaudiot The Impact of Speculative Execution on SMT Processors. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Speculation control, Simultaneous multithreading, Thread scheduling, Confidence estimator
17Joseph J. Sharkey, Jason Loew, Dmitry V. Ponomarev Reducing register pressure in SMT processors through L2-miss-driven early register release. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF register file, Simultaneous multithreading
17Huaping Wang, Israel Koren, C. Mani Krishna 0001 An adaptive resource partitioning algorithm for SMT processors. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simultaneous multithreading, resource partitioning
17Emre Özer 0001, Ronald G. Dreslinski, Trevor N. Mudge, Stuart Biles, Krisztián Flautner Energy-Efficient Simultaneous Thread Fetch from Different Cache Levels in a Soft Real-Time SMT Processor. Search on Bibsonomy SAMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Real-time, Energy Efficiency, Caches, Embedded Processors, SMT
17Josh Berdine, Tal Lev-Ami, Roman Manevich, G. Ramalingam, Shmuel Sagiv Thread Quantification for Concurrent Shape Analysis. Search on Bibsonomy CAV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Tobias Hilbrich, Matthias S. Müller, Bettina Krammer Detection of Violations to the MPI Standard in Hybrid OpenMP/MPI Applications. Search on Bibsonomy IWOMP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Easwaran Raman, Neil Vachharajani, Ram Rangan, David I. August Spice: speculative parallel iteration chunk execution. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF automatic paralleization, thread level parallelism, multicore architectures, speculative parallelization, value speculation
17Easwaran Raman, Guilherme Ottoni, Arun Raman, Matthew J. Bridges, David I. August Parallel-stage decoupled software pipelining. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF doall, dswp, tlp, automatic parallelization, multi-core architectures, pipelined parallelism
17Shivali Agarwal, Rajkishore Barik, R. K. Shyamasundar A Static Characterization of Affinity in a Distributed Program. Search on Bibsonomy HPCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Shivali Agarwal, Rajkishore Barik, V. Krishna Nandivada, R. K. Shyamasundar, Pradeep Varma Static Detection of Place Locality and Elimination of Runtime Checks. Search on Bibsonomy APLAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Derek L. Schuff, Yung Ryn Choe, Vijay S. Pai Conservative vs. Optimistic Parallelization of Stateful Network Intrusion Detection. Search on Bibsonomy ISPASS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Hung-Khoon Tan, Chong-Wah Ngo, Xiao Wu 0001 Modeling video hyperlinks with hypergraph for web video reranking. Search on Bibsonomy ACM Multimedia The full citation details ... 2008 DBLP  DOI  BibTeX  RDF higher order relation, web video reranking, hypergraph
17Sevin Fide, Stephen F. Jenks Architecture optimizations for synchronization and communication on chip multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Roy Krischer, Peter A. Buhr Asynchronous exception propagation in blocked tasks. Search on Bibsonomy Workshop on Exception Handling The full citation details ... 2008 DBLP  DOI  BibTeX  RDF asynchronous exceptions, resumption, exception handling
17Hans-Juergen Boehm, Sarita V. Adve Foundations of the C++ concurrency memory model. Search on Bibsonomy PLDI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF trylock, c++, memory model, data race, sequential consistency, memory consistency
17Christophe Cérin, Hazem Fkaier, Mohamed Jemni Experimental Study of Thread Scheduling Libraries on Degraded CPU. Search on Bibsonomy ICPADS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Martin Burtscher, Milind Kulkarni 0001, Dimitrios Prountzos, Keshav Pingali On the Scalability of an Automatically Parallelized Irregular Application. Search on Bibsonomy LCPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sparse graph algorithm, amorphous data-parallelism, optimistic execution, parallel programming, multicore processors, mesh refinement
17Claude Évéquoz Non-Blocking Concurrent FIFO Queues with Single Word Synchronization Primitives. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Juan Carlos Saez, José Ignacio Gómez, Manuel Prieto 0001 Improving Priority Enforcement via Non-Work-Conserving Scheduling. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Eric Koskinen, Maurice Herlihy Dreadlocks: efficient deadlock detection. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallel programming, concurrency, transactional memory, deadlock, bloom filters, deadlock detection
17Kanupriya Gulati, Sunil P. Khatri Towards acceleration of fault simulation using graphics processing units. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF graphics processing units, fault simulation
17Steve Vinoski Concurrency with Erlang. Search on Bibsonomy IEEE Internet Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Toward Integration, middleware, concurrency, programming language, Erlang
17Osmar Marchi dos Santos, Andy J. Wellings Cost enforcement in the real-time specification for Java. Search on Bibsonomy Real Time Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Fault-tolerance, Model checking, Real-time specification for Java, Java programming language
17Jan A. Bergstra, Cornelis A. Middelburg Synchronous cooperation for explicit multi-threading. Search on Bibsonomy Acta Informatica The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Aysu Betin-Can, Tevfik Bultan, Mikael Lindvall, Benjamin Lux, Stefan Topp Eliminating synchronization faults in air traffic control software via design for verification with concurrency controllers. Search on Bibsonomy Autom. Softw. Eng. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Model checking, Synchronization, Design patterns, Interfaces, Concurrent programming
17Alan Morris, Allen D. Malony, Sameer Shende Supporting Nested OpenMP Parallelism in the TAU Performance System. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF TAU, OpenMP, Nested parallelism
17Neil Vachharajani, Ram Rangan, Easwaran Raman, Matthew J. Bridges, Guilherme Ottoni, David I. August Speculative Decoupled Software Pipelining. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Xiaofeng Guo, Jinquan Dai, Long Li, Zhiyuan Lv, Prashant R. Chandra Latency Hiding in Multi-Threading and Multi-Processing of Network Applications. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Binoy Ravindran, Edward Curley, Jonathan Stephen Anderson, E. Douglas Jensen On Best-Effort Real-Time Assurances for Recovering from Distributable Thread Failures in Distributed Real-Time Systems. Search on Bibsonomy ISORC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Javier Alonso 0001, Jordi Guitart, Jordi Torres Differentiated Quality of Service for e-Commerce Applications through Connection Scheduling based on System-Level Thread Priorities. Search on Bibsonomy PDP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Rajeev Thakur, William Gropp Test Suite for Evaluating Performance of MPI Implementations That Support MPI_THREAD_MULTIPLE. Search on Bibsonomy PVM/MPI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jinquan Dai, Long Li, Bo Huang 0002 Pipelined Execution of Critical Sections Using Software-Controlled Caching in Network Processors. Search on Bibsonomy CGO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Cheng Wang 0013, Ho-Seop Kim, Youfeng Wu, Victor Ying Compiler-Managed Software-based Redundant Multi-Threading for Transient Fault Detection. Search on Bibsonomy CGO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Hongtao Zhong, Steven A. Lieberman, Scott A. Mahlke Extending Multicore Architectures to Exploit Hybrid Parallelism in Single-thread Applications. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Zhibin Zhang, Li Guo 0001, Binxing Fang, Xiaojun Chen 0004 Parallelizing Protocol Processing on SMT Processor Efficiently: A FSM Decomposition Approach. Search on Bibsonomy IPCCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Young-Joo Kim, Jae-Seon Lim, Yong-Kee Jun Scalable Thread Visualization for Debugging Data Races in OpenMP Programs. Search on Bibsonomy GPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF OpenMP programs, data race debugging, scalable thread visualization, three-dimensional visualization
17Philip Garcia, Henry F. Korth Pipelined hash-join on multithreaded architectures. Search on Bibsonomy DaMoN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Barbara M. Chapman The Multicore Programming Challenge. Search on Bibsonomy APPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Binoy Ravindran, Jonathan Stephen Anderson, E. Douglas Jensen On Distributed Real-Time Scheduling in Networked Embedded Systems in the Presence of Crash Failures. Search on Bibsonomy SEUS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Joseph J. Sharkey, Dmitry V. Ponomarev An L2-miss-driven early register deallocation for SMT processors. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF register files, simultaneous multithreading
17Peter Dawson, Geoffrey T. Parks, Daniel Jaeggi, Arturo Molina-Cristobal, P. John Clarkson The Development of a Multi-threaded Multi-objective Tabu Search Algorithm. Search on Bibsonomy EMO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Kyle J. Nesbit, James Laudon, James E. Smith 0001 Virtual private caches. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF quality of service, chip multiprocessor, soft real-time, shared caches, performance isolation
17Arrvindh Shriraman, Michael F. Spear, Hemayet Hossain, Virendra J. Marathe, Sandhya Dwarkadas, Michael L. Scott An integrated hardware-software approach to flexible transactional memory. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RSTM, multiprocessors, transactional memory, cache coherence
17Karthik Channakeshava, Binoy Ravindran, E. Douglas Jensen Utility Accrual Channel Establishment in Multihop Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Real-time systems, multihop networks, time/utility functions, real-time channels
17Francisco J. Cazorla, Peter M. W. Knijnenburg, Rizos Sakellariou, Enrique Fernández, Alex Ramírez, Mateo Valero Predictable Performance in SMT Processors: Synergy between the OS and SMTs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF real time, operating systems, performance predictability, ILP, thread-level parallelism, simultaneous multithreading, Multithreaded processors
17R. M. Muthukumar, D. Janakiram Yama: A Scalable Generational Garbage Collector for Java in Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF on-the-fly garbage collection, Java, programming languages, Garbage collection, memory management, Java virtual machine
17Umakishore Ramachandran, Kathleen Knobe, Nissim Harel, Hasnain A. Mandviwala Distributed Garbage Collection Algorithms for Timestamped Data. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF logical timestamps, performance evaluation, ubiquitous computing, Garbage collection, cluster computing, multimedia systems, distributed programming, virtual time, soft real-time systems
17Ilya Ganusov, Martin Burtscher Efficient emulation of hardware prefetchers via event-driven helper threading. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF prefetching, multi-core architectures, helper threading
17Venkatesan Packirisamy, Shengyue Wang, Antonia Zhai, Wei-Chung Hsu, Pen-Chung Yew Supporting Speculative Multithreading on Simultaneous Multithreaded Processors. Search on Bibsonomy HiPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Evangelia Athanasaki, Nikos Anastopoulos, Kornilios Kourtis, Nectarios Koziris Exploring the Capacity of a Modern SMT Architecture to Deliver High Scientific Application Performance. Search on Bibsonomy HPCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Hou Rui, Longbing Zhang, Weiwu Hu A Hybrid Hardware/Software Generated Prefetching Thread Mechanism on Chip Multiprocessors. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Erez Perelman, Marzia Polito, Jean-Yves Bouguet, Jack Sampson, Brad Calder, Carole Dulong Detecting phases in parallel applications on shared memory architectures. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Erik K. Anderson, Jason Agron, Wesley Peck, Jim Stevens, Fabrice Baijot, Ed Komp, Ron Sass, David Andrews 0001 Enabling a Uniform Programming Model Across the Software/Hardware Boundary. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Myra B. Cohen, Shiu Beng Kooi, Witawas Srisa-an Clustering the heap in multi-threaded applications for improved garbage collection. Search on Bibsonomy GECCO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF heap clustering, virtual machines, garbage collection, hill climbing, search based software engineering
17Gengbin Zheng, Laxmikant V. Kalé, Orion Sky Lawlor Multiple Flows of Control in Migratable Parallel Programs. Search on Bibsonomy ICPP Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Jung-Guk Kim, Moon-hae Kim, Shin Heu Architectures and Functions of the TMO Kernels for Ubiquitous and Embedded Real-Time Distributed Computing. Search on Bibsonomy UIC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Greg Hoover, Forrest Brewer, Timothy Sherwood A case study of multi-threading in the embedded space. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multi-threading, embedded architecture
17Joseph J. Sharkey, Dmitry Ponomarev 0001 Balancing ILP and TLP in SMT Architectures through Out-of-Order Instruction Dispatch. Search on Bibsonomy ICPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Jung Ho Ahn, Mattan Erez, William J. Dally Architecture - The design space of data-parallel memory systems. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Lisa R. Hsu, Ravishankar R. Iyer 0001, Srihari Makineni, Steven K. Reinhardt, Donald Newell Exploring the cache design space for large scale CMPs. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17James Burns, Jean-Luc Gaudiot Area and System Clock Effects on SMT/CMP Throughput. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF layout area estimation, microarchitecture trade off, processor architecture, SMT
17Joshua L. Kihm, Daniel A. Connors Statistical Simulation of Multithreaded Architectures. Search on Bibsonomy MASCOTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Dong-Hwan Park, Tai-Yeon Ku, Kyeong-Deok Moon Data Broadcasting Software Architecture supporting Real-Time Caching and Monitoring in Interactive TV. Search on Bibsonomy ACIS-ICIS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Jahangir Hasan, Ankit Jalote, T. N. Vijaykumar, Carla E. Brodley Heat Stroke: Power-Density-Based Denial of Service in SMT. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Richard Bornat, Cristiano Calcagno, Peter W. O'Hearn, Matthew J. Parkinson Permission accounting in separation logic. Search on Bibsonomy POPL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF concurrency, logic, separation, permissions
17Vladimir Levin, Robert Palmer, Shaz Qadeer, Sriram K. Rajamani Sound Transaction-Based Reduction Without Cycle Detection. Search on Bibsonomy SPIN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Yun Zhang, Michael Voss Runtime Empirical Selection of Loop Schedulers on Hyperthreaded SMPs. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Razali Jidin, David Andrews 0001, Wesley Peck, Dan Chirpich, Kevin Stout, John M. Gauch Evaluation of the Hybrid Multithreading Programming Model using Image Processing Transforms. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17H. Peter Hofstee, Michael N. Day Hardware and software architectures for the CELL processor. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Björn Engdahl, Malin Köksal, Gary Marsden Using treemaps to visualize threaded discussion forums on PDAs. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mobile device, treemap, small screens, discussion forum
17Siddhartha Shivshankar, Sunil Vangara, Alexander G. Dean Balancing register pressure and context-switching delays in ASTI systems. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF asynchronous software thread integration, software-implemented-communication protocols, hardware to software migration, fine-grain concurrency
17Rahul Agarwal, Liqiang Wang, Scott D. Stoller Detecting Potential Deadlocks with Static Analysis and Run-Time Monitoring. Search on Bibsonomy Haifa Verification Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Fredrik Warg, Per Stenström Reducing misspeculation overhead for module-level speculative execution. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF misspeculation prediction, module-level parallelism, performance evaluation, chip multiprocessors, thread-level speculation
17Rajkishore Barik Efficient Computation of May-Happen-in-Parallel Information for Concurrent Java Programs. Search on Bibsonomy LCPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Aysu Betin-Can, Tevfik Bultan, Mikael Lindvall, Benjamin Lux, Stefan Topp Application of design for verification with concurrency controllers to air traffic control software. Search on Bibsonomy ASE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF model checking, synchronization, design patterns, interfaces, concurrent programming
17Jan A. Bergstra, Cornelis A. Middelburg A Thread Algebra with Multi-level Strategic Interleaving. Search on Bibsonomy CiE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Carmelo Acosta, Ayose Falcón, Alex Ramírez, Mateo Valero A Complexity-Effective Simultaneous Multithreading Architecture. Search on Bibsonomy ICPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Complexity-Effective, Heterogeneity-Awareness, Mapping Policies, Clustering, CMP, SMT
17Jisheng Zhao, Ian Rogers, Chris C. Kirkham, Ian Watson Loop Parallelisation for the Jikes RVM. Search on Bibsonomy PDCAT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Pedro Marcuello, Antonio González 0001, Jordi Tubella Thread Partitioning and Value Prediction for Exploiting Speculative Thread-Level Parallelism. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Speculative thread-level parallelism, thread spawning policies, branch prediction, value prediction, clustered architectures
17Seongbeom Kim, Dhruba Chandra, Yan Solihin Fair Cache Sharing and Partitioning in a Chip Multiprocessor Architecture. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Ram Rangan, Neil Vachharajani, Manish Vachharajani, David I. August Decoupled Software Pipelining with the Synchronization Array. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Karthik Channakeshava, Binoy Ravindran On Utility Accrual Real-Time Channel Establishment in Multi-Hop Networks. Search on Bibsonomy ISORC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Eric Tune, Rakesh Kumar 0002, Dean M. Tullsen, Brad Calder Balanced Multithreading: Increasing Throughput via a Low Cost Multithreading Hierarchy. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Jared C. Smolens, Jangwoo Kim, James C. Hoe, Babak Falsafi Efficient Resource Sharing in Concurrent Error Detecting Superscalar Microarchitectures. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Ayose Falcón, Alex Ramírez, Mateo Valero A Low-Complexity, High-Performance Fetch Unit for Simultaneous Multithreading Processors. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Cormac Flanagan, Stephen N. Freund Atomizer: a dynamic atomicity checker for multithreaded programs. Search on Bibsonomy POPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF dynamic analysis, reduction, atomicity
17Thomas A. Henzinger, Ranjit Jhala, Rupak Majumdar Race checking by context inference. Search on Bibsonomy PLDI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF software model checking, race conditions
17Stephen Gilmore Extending Camelot with Mutable State and Concurrency. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Mohamed A. Gomaa, Michael D. Powell, T. N. Vijaykumar Heat-and-run: leveraging SMT and CMP to manage power density through the operating system. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CMP, migration, SMT, heat, power density
17Cormac Flanagan, Shaz Qadeer Thread-Modular Model Checking. Search on Bibsonomy SPIN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Chulho Shin, Seong-Won Lee, Jean-Luc Gaudiot Dynamic Scheduling Issues in SMT Architectures. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Cormac Flanagan, Shaz Qadeer A type and effect system for atomicity. Search on Bibsonomy PLDI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multithreading, atomicity, race conditions, static checking
17Yen-Teh Hsia Curricular Automata and Their Applications. Search on Bibsonomy ICALT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Peng-Sheng Chen, Ming-Yu Hung, Yuan-Shin Hwang, Roy Dz-Ching Ju, Jenq Kuen Lee Compiler support for speculative multithreading architecture with probabilistic points-to analysis. Search on Bibsonomy PPoPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF probabilistic points-to analysis, parallelization, dependence analysis, speculative multithreading
17Pentti Huttunen, Jouni Ikonen, Jari Porras MPIT - Communication/Computation Paradigm for Networks of SMP Workstations. Search on Bibsonomy PARA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 3168 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license