The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for wirelength with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1989-1998 (20) 1999-2000 (19) 2001-2002 (25) 2003 (24) 2004 (32) 2005 (45) 2006 (51) 2007 (57) 2008 (57) 2009 (37) 2010 (21) 2011-2012 (17) 2013-2017 (21) 2018-2021 (18) 2022-2024 (13)
Publication types (Num. hits)
article(141) inproceedings(316)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 405 occurrences of 176 keywords

Results
Found 457 publication records. Showing 457 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10Sadiq M. Sait, Ali Mustafa Zaidi, Mustafa I. Ali Multiobjective VLSI cell placement using distributed simulated evolution algorithm. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Jacob R. Minz, Sung Kyu Lim, Cheng-Kok Koh 3D module placement for congestion and power noise reduction. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF 3D module placement, power noise reduction, congestion, system-on-package
10Ulrich Brenner, Markus Struzyna Faster and better global placement by a new transportation algorithm. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VLSI-placement, global placement, transportation problem
10Brent Goplen, Prashant Saxena, Sachin S. Sapatnekar Net weighting to reduce repeater counts during placement. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF interconnect, placement, scaling, buffering, repeater, force-directed placement, net weighting
10Paul D. Kundarewich, Jonathan Rose Synthetic circuit generation using clustering and iteration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Jai-Ming Lin, Yao-Wen Chang TCG-S: orthogonal coupling of P*-admissible representations for general floorplans. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Chris C. N. Chu, Evangeline F. Y. Young Nonrectangular shaping and sizing of soft modules for floorplan-design improvement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Andrew B. Kahng, Bao Liu 0001, Ion I. Mandoiu Nontree routing for reliability and yield improvement [IC layout]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Andrew B. Kahng, Xu Xu 0001 Local unidirectional bias for cutsize-delay tradeoff in performance-driven bipartitioning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Jason Cong, Sung Kyu Lim Retiming-based timing analysis with an application to mincut-based global placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Jacob R. Minz, Mohit Pathak, Sung Kyu Lim Net and Pin Distribution for 3D Package Global Routing. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Zion Cien Shen, Chris C. N. Chu Accurate and efficient flow based congestion estimation in floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Ulrich Brenner, Anna Pauli, Jens Vygen Almost optimum placement legalization by minimum cost flow and dynamic programming. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF placement, legalization, minimum-cost flow, detailed placement
10Jason Cong, Jie Wei, Yan Zhang A thermal-driven floorplanning algorithm for 3D ICs. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Chen Li 0004, Min Xie 0004, Cheng-Kok Koh, Jason Cong, Patrick H. Madden Routability-driven placement and white space allocation. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Hung-Ming Chen, I-Min Liu, Martin D. F. Wong, Muzhou Shao, Li-Da Huang I/O Clustering in Design Cost and Performance Optimization for Flip-Chip Design. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Meng-Chen Wu, Yao-Wen Chang Placement with Alignment and Performance Constraints Using the B*-Tree Representation. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10PariVallal Kannan, Dinesh Bhatia Estimating Pre-Placement FPGA Interconnection Requirements. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Zhong Xiu, James D. Z. Ma, Suzanne M. Fowler, Rob A. Rutenbar Large-scale placement by grid-warping. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF algorithms, placement
10Joni Dambre, Peter Verplaetse, Dirk Stroobandt, Jan Van Campenhout A comparison of various terminal-gate relationships for interconnect prediction in VLSI circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Michael G. Wrighton, André DeHon Hardware-assisted simulated annealing with application for fast FPGA placement. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF field-programmable gate arrays, simulated annealing, placement, reconfigurable computing, design automation
10Paul D. Kundarewich, Jonathan Rose Synthetic circuit generation using clustering and iteration. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Bing Lu, Jiang Hu, Gary Ellis, Haihua Su Process variation aware clock tree routing. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF VLSI, interconnect, physical design, clock tree synthesis
10Saurabh N. Adya, Igor L. Markov, Paul Villarrubia On Whitespace and Stability in Mixed-Size Placement and Physical Synthesis. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Puneet Gupta 0001, Andrew B. Kahng, Ion I. Mandoiu, Puneet Sharma Layout-Aware Scan Chain Synthesis for Improved Path Delay Fault Coverage. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Brent Goplen, Sachin S. Sapatnekar Efficient Thermal Placement of Standard Cells in 3D ICs using a Force Directed Approach. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Joachim Pistorius, Mike Hutton Placement rent exponent calculation methods, temporal behaviour and FPGA architecture evaluation. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA architecture, interconnect prediction, SLIP, rent
10Hsun-Cheng Lee, Yao-Wen Chang, Jer-Ming Hsu, Hannah Honghua Yang Multilevel floorplanning/placement for large-scale modules using B*-trees. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multilevel framework, floorplanning, lagrangian relaxation
10Yih-Chih Chou, Youn-Long Lin Effective enforcement of path-delay constraints inperformance-driven placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Xiaojian Yang, Ryan Kastner, Majid Sarrafzadeh Congestion estimation during top-down placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Andrew B. Kahng, Stefanus Mantik, Igor L. Markov Min-max placement for large-scale timing optimization. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Xiaojian Yang, Bo-Kyung Choi, Majid Sarrafzadeh Routability driven white space allocation for fixed-die standard-cell placement. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF placement, physical design, routability
10Saurabh N. Adya, Igor L. Markov Consistent placement of macro-blocks using floorplanning and standard-cell placement. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Jingcao Hu, Yangdong Deng, Radu Marculescu System-Level Point-to-Point Communication Synthesis using Floorplanning Information. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF System-leve design, low-power, floorplanning, Communication synthesis, point-to-point communication
10Christoph Albrecht, Andrew B. Kahng, Ion I. Mandoiu, Alexander Zelikovsky Floorplan Evaluation with Timing-Driven Global Wireplanning, Pin Assignment and Buffer/Wire Sizing. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Jai-Ming Lin, Yao-Wen Chang TCG-S: orthogonal coupling of P*-admissible representations for general floorplans. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Abhishek Ranjan, Kia Bazargan, Seda Ogrenci, Majid Sarrafzadeh Fast floorplanning for effective prediction and construction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Andrew B. Kahng, John C. Lach, William H. Mangione-Smith, Stefanus Mantik, Igor L. Markov, Miodrag Potkonjak, Paul Tucker, Huijuan Wang, Gregory Wolfe Constraint-based watermarking techniques for design IP protection. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Feodor F. Dragan, Andrew B. Kahng, Ion I. Mandoiu, Sudhakar Muddu, Alexander Zelikovsky Provably good global buffering by multi-terminal multicommodity flow approximation. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Padmini Gopalakrishnan, Altan Odabasioglu, Lawrence T. Pileggi, Salil Raje Overcoming wireload model uncertainty during physical design. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Andrew E. Caldwell, Andrew B. Kahng, Igor L. Markov Optimal partitioners and end-case placers for standard-cell layout. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Jason Cong, Xin Yuan 0005 Routing tree construction under fixed buffer locations. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Yao-Wen Chang, Yu-Tsang Chang An architecture-driven metric for simultaneous placement and global routing for FPGAs. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Ross Baldick, Andrew B. Kahng, Andrew A. Kennings, Igor L. Markov Function Smoothing with Applications to VLSI Layout. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Gang Huang, Xianlong Hong, Changge Qiao, Yici Cai A Timing-Driven Block Placer Based on Sequence Pair Model. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF timing-driven, building block placement, sequence pair, simulated annealing algorithm
10Jason Cong, Tianming Kong, Dongmin Xu, Faming Liang, Jun S. Liu, Wing Hung Wong Relaxed Simulated Tempering for VLSI Floorplan Designs. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Sergei L. Bezrukov, Sajal K. Das 0001, Robert Elsässer Optimal Cuts for Powers of the Petersen Graph. Search on Bibsonomy WG The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Andrew B. Kahng, Stefanus Mantik, Igor L. Markov, Miodrag Potkonjak, Paul Tucker, Huijuan Wang, Gregory Wolfe Robust IP Watermarking Methodologies for Physical Design. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF system-on-chip test, testing embedded core, intellectual property test
10Majid Sarrafzadeh, Maogang Wang NRG: global and detailed placement. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF NRG, Global Placement, Placement, Detailed Placement
10Charles J. Alpert, Tony F. Chan, Dennis J.-H. Huang, Igor L. Markov, Kenneth Yan Quadratic Placement Revisited. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Michael J. Alexander, Gabriel Robins New performance-driven FPGA routing algorithms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
10Charles J. Alpert, T. C. Hu, Dennis J.-H. Huang, Andrew B. Kahng, David R. Karger Prim-Dijkstra tradeoffs for improved performance-driven routing tree design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
10Bernard A. McCoy, Gabriel Robins Non-tree routing [VLSI layout]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
10Stephen T. Frezza, Steven P. Levitan SPAR: a schematic place and route system. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
10Mark Hirsch, Daniel P. Siewiorek The effect of placement of automatically extracted structure. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
10Raffaele Costa, Francesco Curatelli, Daniele D. Caviglia, Giacomo M. Bisio Symbolic generation of constrained random logic cells. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
10Michael A. B. Jackson, Ernest S. Kuh Performance-driven Placement of Cell Based IC's. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #457 of 457 (100 per page; Change: )
Pages: [<<][1][2][3][4][5]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license