The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ASICs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1986-1990 (27) 1991-1993 (18) 1994-1995 (26) 1996 (19) 1997 (18) 1998 (21) 1999 (23) 2000 (31) 2001 (25) 2002 (40) 2003 (43) 2004 (51) 2005 (36) 2006 (45) 2007 (41) 2008 (47) 2009 (20) 2010-2011 (21) 2012-2013 (17) 2014-2015 (21) 2016-2018 (26) 2019-2020 (22) 2021-2022 (16) 2023-2024 (11)
Publication types (Num. hits)
article(136) book(1) incollection(1) inproceedings(513) phdthesis(14)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 618 occurrences of 392 keywords

Results
Found 665 publication records. Showing 665 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10Aneesh Koorapaty, V. Kheterpal, Padmini Gopalakrishnan, M. Fu, Lawrence T. Pileggi Exploring Logic Block Granularity for Regular Fabrics. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Klaus Winkelmann, Hans-Joachim Trylus, Dominik Stoffel, Görschwin Fey Cost-Efficient Block Verification for a UMTS Up-Link Chip-Rate Coprocessor. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Beibei Ren, Anru Wang, Joyopriya Bakshi, Kai Liu, Wei Li, Wayne Wei-Ming Dai A Domain-Specific Cell Based ASIC Design Methodology for Digital Signal Processing Applications. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Marcos Ferretti, Recep O. Ozdag, Peter A. Beerel High Performance Asynchronous ASIC Back-End Design Flow Using Single-Track Full-Buffer Standard Cells. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Michael Ullmann, Michael Hübner 0001, Björn Grimm, Jürgen Becker 0001 On-Demand FPGA Run-Time System for Dynamical Reconfiguration with Adaptive Priorities. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Mike Hutton Advances and trends in FPGA design. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Takumi Okamoto, Tsutomu Kimoto, Naotaka Maeda Design methodology and tools for NEC electronics' structured ASIC ISSP. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ISSP, placement, structured ASIC, regular fabric
10Ulrich Brenner, Anna Pauli, Jens Vygen Almost optimum placement legalization by minimum cost flow and dynamic programming. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF placement, legalization, minimum-cost flow, detailed placement
10Erland Nilsson, Johnny Öberg Reducing power and latency in 2-D mesh NoCs using globally pseudochronous locally synchronous clocking. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hot-potato, pseudochronous, network on chip, mesh, clocking, GALS, GPLS
10Abraham Duarte, Felipe Fernández, Ángel Sánchez 0001, Antonio S. Montemayor A Hierarchical Social Metaheuristic for the Max-Cut Problem. Search on Bibsonomy EvoCOP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Jie Guo 0007, Michael Hosemann, Gerhard P. Fettweis Employing Compilers for Determining Architectural Features of Application-Specific DSPs. Search on Bibsonomy PARELEC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Bin Wu, Jianwen Zhu, Farid N. Najm Dynamic range estimation for nonlinear systems. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Lei Cheng 0001, Martin D. F. Wong Floorplan design for multi-million gate FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Steve Carr 0001, Philip H. Sweany Automatic data partitioning for the agere payload plus network processor. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scheduling, partitioning, network processors
10Luca Macchiarulo, Consolato F. Caccamo, Davide Pandini A comparison between mask- and field-programmable routing structures on industrial FPGA architectures. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF mask-programmable, FPGA, routing, interconnect architectures
10Gaël Rouvroy, François-Xavier Standaert, Jean-Jacques Quisquater, Jean-Didier Legat Compact and Efficient Encryption/Decryption Module for FPGA Implementation of the AES Rijndael Very Well Suited for Small Embedded Applications. Search on Bibsonomy ITCC (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF compact encryption/decryption implementation, FPGA, Cryptography, AES, DES
10Ethan Long, W. Robert Daasch, Robert Madge, Brady Benware Detection of Temperature Sensitive Defects Using ZTC. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Daisuke Watanabe, Masakatsu Suda, Toshiyuki Okayasu 34.1Gbps Low Jitter, Low BER High-Speed Parallel CMOS Interface for Interconnections in High-Speed Memory Test System. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Robert Madge ATE Value Add through Open Data Collection. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Lin Yuan, Pushkin R. Pari, Gang Qu 0001 Soft IP Protection: Watermarking HDL Codes. Search on Bibsonomy Information Hiding The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Aman Kokrady, C. P. Ravikumar Fast, Layout-Aware Validation of Test-Vectors for Nanometer-Related Timing Failures. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Timing Failure, Test Validation, Crosstalk, At Speed Testing, IR Drop
10Aleksandar Beric, Ramanathan Sethuraman, Harm Peters, Jef L. van Meerbergen, Gerard de Haan, Carlos A. Alba Pinto A 27 mW 1.1 mm2 Motion Estimator for Picture-Rate Up-converter. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10John Y. Oliver, Ravishankar Rao, Paul Sultana, Jedidiah R. Crandall, Erik Czernikowski, Leslie W. Jones IV, Diana Franklin, Venkatesh Akella, Frederic T. Chong Synchroscalar: A Multiple Clock Domain, Power-Aware, Tile-Based Embedded Processor. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Bin Wu, Jianwen Zhu, Farid N. Najm An analytical approach for dynamic range estimation. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Karhunen-Loeve Expansion (KLE), correlation, dynamic range, bitwidth
10Mark Hopkins Nomadic platform approach for wireless mobile multimedia. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Christian Plessl, Rolf Enzler, Herbert Walder, Jan Beutel, Marco Platzner, Lothar Thiele, Gerhard Tröster The case for reconfigurable hardware in wearable computing. Search on Bibsonomy Pers. Ubiquitous Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Body area computing system, Field-programmable gate arrays, Embedded systems, Wearable computing, Reconfigurable hardware
10Kerem Karadayi, Vishal Markandey, Jeremiah Golston, Robert J. Gove, Yongmin Kim 0001 Strategies for Mapping Algorithms to Mediaprocessors for High Performance. Search on Bibsonomy IEEE Micro The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Amit Singh 0001, Arindam Mukherjee 0001, Luca Macchiarulo, Malgorzata Marek-Sadowska PITIA: an FPGA for throughput-intensive applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Saurabh N. Adya, Igor L. Markov Fixed-outline floorplanning: enabling hierarchical design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10M. A. Azadpour, T. S. Kalkur A clock interconnect extractor for multigigahertz frequencies incorporating inductance effect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Seonil Choi, Ju-wook Jang, Sumit Mohanty, Viktor K. Prasanna Domain-Specific Modeling for Rapid Energy Estimation of Reconfigurable Architectures. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA, energy optimization, domain-specific modeling, energy estimation
10Nikil D. Dutt, Kiyoung Choi Configurable Processors for Embedded Computing. Search on Bibsonomy Computer The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Qin Zhao, Bart Mesman, Twan Basten Static resource models for code-size efficient embedded processors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Static resource models, phase coupling, scheduling, convex hull, constraint analysis
10Lijun Gao, Keshab K. Parhi, Jun Ma Relaxed Annihilation-Reordering Look-Ahead QRD-RLS Adaptive Filters. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF relaxed weight-update, relaxed annihilation-reordering look-ahead, hardware mapping, QRD-RLS adaptive filter, filtering approximation, low area, low power, high-speed, beamformer, CORDIC, Givens rotation
10Aditya Agrawal, Ákos Lédeczi Multigranular Simulation of Heterogeneous Embedded Systems. Search on Bibsonomy ECBS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Conrad H. Ziesler, Joohee Kim, Marios C. Papaefthymiou Energy Recovering ASIC Design. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Katarzyna Leijten-Nowak, Jef L. van Meerbergen An FPGA architecture with enhanced datapath functionality. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF adder inverting property, application-domain tuning, logic block architectures, FPGAs, DSP, symmetry
10Gregor Papa, Jurij Silc Concurrent Operation Scheduling and Unit Allocation with an Evolutionary Technique. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Andrew Royal, Peter Y. K. Cheung Globally Asynchronous Locally Synchronous FPGA Architectures. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Aneesh Koorapaty, Lawrence T. Pileggi, Herman Schmit Heterogeneous Logic Block Architectures for Via-Patterned Programmable Fabrics. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Carl Ebeling, Chris Fisher, Guanbin Xing, Manyuan Shen, Hui Liu 0011 Implementing an OFDM Receiver on the RaPiD Reconfigurable Architecture. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Renate Henftling, Wolfgang Ecker, Andreas Zinn, Martin Zambaldi, Matthias Bauer 0003 An Approach for Mixed Coarse-Granular and Fine-Granular Re-Configurable Architectures. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF re-configurable architecture, hardware testbenches, acceleration of functional simulation, coarse-granular, fine-granular
10Patrick Murphy, J. Patrick Frantz, Erik Welsh, Ricky Hardy, Tinoosh Mohsenin, Joseph R. Cavallaro VALID: Custom ASIC Verification and FPGA Education Platform. Search on Bibsonomy MSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Rolf Drechsler, Nicole Drechsler GAME-HDL: Implementation of Evolutionary Algorithms Using Hardware Description Languages. Search on Bibsonomy EvoWorkshops The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Krishna Sekar, Kanishka Lahiri, Sujit Dey Dynamic Platform Management for Configurable Platform-Based System-on-Chips. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ASIC
10Chao Huang, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha Synthesis of Heterogeneous Distributed Architectures for Memory-Intensive Applications. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10David Goodwin, Darin Petkov Automatic generation of application specific processors. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF automatic instruction-set generation, ASIPs, configurable processors, extensible processors
10Matthias Frey, Hans-Andrea Loeliger, Felix Lustenberger, Patrick R. Merkli, Patrik Strebel Analog-decoder experiments with subthreshold CMOS soft-gates. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Gleb A. Chuvpilo, Saman P. Amarasinghe High-Bandwidth Packet Switching on the Raw General-Purpose Architecture. Search on Bibsonomy ICPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Jayashree Saxena, Kenneth M. Butler, Vinay B. Jayaram, Subhendu Kundu, N. V. Arvind, Pravin Sreeprakash, Manfred Hachinger A Case Study of IR-Drop in Structured At-Speed Testing. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Juha-Pekka Soininen, Axel Jantsch, Martti Forsell, Antti Pelkonen, Jari Kreku, Shashi Kumar Extending Platform-Based Design to Network on Chip Systems. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10M. Josie Ammer, Michael Sheets, Tufan C. Karalar, Mika Kuulusa, Jan M. Rabaey A low-energy chip-set for wireless intercom. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low power, wireless communication, design methodology
10Murali Kudlugi, Russell Tessier Static scheduling of multidomain circuits for fast functional verification. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Chunhong Chen, Majid Sarrafzadeh Power-Manageable Scheduling Technique for Control Dominated High-Level Synthesis. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Sudhakar Yalamanchili The Customization Landscape for Embedded Systems. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Aneesh Koorapaty, Lawrence T. Pileggi Modular, Fabric-Specific Synthesis for Programmable Architectures. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Hooman Parizi, Afshin Niktash, Nader Bagherzadeh, Fadi J. Kurdahi MorphoSys: A Coarse Grain Reconfigurable Architecture for Multimedia Applications (Research Note). Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10M. Balakrishnan, Anshul Kumar, Paolo Ienne, Anup Gangwar, Bhuvan Middha A Trimaran Based Framework for Exploring the Design Space of VLIW ASIPs with Coarse Grain Functional Units. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Trimaran, performance, design space exploration, VLIW, ASIP
10Ahmed Amine Jerraya, Sungjoo Yoo, Aimen Bouchhima, Gabriela Nicolescu Validation in a Component-Based Design Flow for Multicore SoCs. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF validation, SoC, abstraction levels, component-based design, cosimulation
10Wei Qin, Subramanian Rajagopalan, Manish Vachharajani, Hangsheng Wang, Xinping Zhu, David I. August, Kurt Keutzer, Sharad Malik, Li-Shiuan Peh Design Tools for Application Specific Embedded Processors. Search on Bibsonomy EMSOFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Pasquale Cocchini Concurrent flip-flop and repeater insertion for high performance integrated circuits. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Akashi Satoh, Sumio Morioka Small and High-Speed Hardware Architectures for the 3GPP Standard Cipher KASUMI. Search on Bibsonomy ISC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Christophe Bobda, Nils Steenbock A Rapid Prototyping Environment for Distributed Reconfigurable Systems. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Kurt Keutzer, Sharad Malik, A. Richard Newton From ASIC to ASIP: The Next Design Discontinuity. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Programmable platforms, Design methodology, Application Specific Integrated Circuits, ASIC, Application Specific Instruction Set Processors, ASIP
10Dae Woon Kang, Yong-Bin Kim Design flow of robust routed power distribution for low power ASIC. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Keith S. Vallerio, Niraj K. Jha Task graph transformation to aid system synthesis. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Xiaojuan Hu, Linda DeBrunner, Victor E. DeBrunner An efficient design for FIR filters with variable precision. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Holger Blume, H. Hübert, H. T. Feldkämper, Tobias G. Noll Model-Based Exploration of the Design Space for Heterogeneous Systems on Chip. Search on Bibsonomy ASAP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Christian Plessl, Rolf Enzler, Herbert Walder, Jan Beutel, Marco Platzner, Lothar Thiele Reconfigurable Hardware in Wearable Computing Nodes. Search on Bibsonomy ISWC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Loïs Guiller, Frederic Neuveux, S. Duggirala, R. Chandramouli, Rohit Kapur Integrating DFT in the Physical Synthesis Flow. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Timothe Litt Support for Debugging in the Alpha 21364 Microprocessor. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Felix Schürmann, Steffen G. Hohmann, Johannes Schemmel, Karlheinz Meier Towards an Artificial Neural Network Framework. Search on Bibsonomy Evolvable Hardware The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Rahul Kumar, C. P. Ravikumar Leakage Power Estimation for Deep Submicron Circuits in an ASIC Design Environment. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Power Estimation, Leakage Power, Linear Regression, Deep Submicron
10Jiong Luo, Niraj K. Jha Static and Dynamic Variable Voltage Scheduling Algorithms for Real-Time Heterogeneous Distributed Embedded Systems. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF scheduling, real-time systems, embedded systems, low-power
10Vineet Sahula, C. P. Ravikumar, D. Nagchoudhuri Improvement of ASIC Design Processes. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Shankar Balachandran, PariVallal Kannan, Dinesh Bhatia On Routing Demand and Congestion Estimation for FPGAs. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Adrian K. Lutz, Jürg Treichler, Frank K. Gürkaynak, Hubert Kaeslin, Gérard Basler, Antonia Erni, Stephan Reichmuth, Pieter Rommens, Stephan Oetiker, Wolfgang Fichtner 2Gbit/s Hardware Realizations of RIJNDAEL and SERPENT: A Comparative Analysis. Search on Bibsonomy CHES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Kanad Chakraborty, Shriram Kulkarni, Mayukh Bhattacharya, Pinaki Mazumder, Anurag Gupta A physical design tool for built-in self-repairable RAMs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Srivaths Ravi 0001, Ganesh Lakshminarayana, Niraj K. Jha TAO: regular expression-based register-transfer level testability analysis and optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Raguraman Venkatesan, Jeffrey A. Davis, Keith A. Bowman, James D. Meindl Optimal n-tier multilevel interconnect architectures for gigascale integration (GSI). Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Pawel Chodowiec, Po Khuon, Kris Gaj Fast implementations of secret-key block ciphers using mixed inner- and outer-round pipelining. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF fast architectures, secret-key ciphers, pipelining, AES
10Steven J. E. Wilton A crosstalk-aware timing-driven router for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF field-programmable gate arrays, routing algorithms, crosstalk
10PariVallal Kannan, Shankar Balachandran, Dinesh Bhatia fGREP - Fast Generic Routing Demand Estimation for Placed FPGA Circuits. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Rajeev Jayaraman Physical design for FPGAs. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF FPGA, routing, placement, physical design
10Richard E. Haskell, Darrin M. Hanna FPGA Integrated Co-Design. Search on Bibsonomy MSE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Kostas Marinis, Nikos K. Moshopoulos, Fotis Karoubalis, Kiamal Z. Pekmestzi On the Hardware Implementation of the 3GPP Confidentiality and Integrity Algorithms. Search on Bibsonomy ISC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Christophe Bobda, Nils Steenbock Singular Value Decomposition on Distributed Reconfigurable Systems. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Sebastian Meine A Holarchical Organized Design Assistant for Hierarchical Decompositions. Search on Bibsonomy EUROCAST The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Michael Affenzeller, Franz Pichler, Rudolf Mittelmann On CAST.FSM Computation of Hierarchical Multi-layer Networks of Automata. Search on Bibsonomy EUROCAST The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Tom Egan, Samiha Mourad Verification of Embedded Phase-Locked Loops. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Josef Schmid, Timo Schüring, Christoph Smalla Using the Boundary Scan Delay Chain for Cross-Chip Delay Measurement and Characterization of Delay Modeling Flow. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Aravind Dasu, Sethuraman Panchanathan Reconfigurable Media Processing. Search on Bibsonomy ITCC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Chris Basoglu, Woobin Lee, John Setel O'Donnell The MAP1000A VLIW Mediaprocessor. Search on Bibsonomy IEEE Micro The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Akio Koyama, Makio Uchida, Tatsuhiro Aida, Jun'ya Kudo, Masatoshi Tsuge Switching well noise modeling and minimization strategy for digitalcircuits with a controllable threshold voltage scheme. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Shantanu Tarafdar, Miriam Leeser A data-centric approach to high-level synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Luiz C. V. dos Santos, Marc J. M. Heijligers, C. A. J. van Eijk, J. Van Eijnhoven, Jochen A. G. Jess A code-motion pruning technique for global scheduling. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF high-level synthesis, code generation, speculative execution, code motion, global scheduling
10Guy Gogniat, Michel Auguin, Luc Bianco, Alain Pegatoquet A codesign back-end approach for embedded system design. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF HW/SW integration, template architecture, codesign, communications synthesis
10S. Ramanathan, S. K. Nandy 0001, V. Visvanathan Reconfigurable Filter Coprocessor Architecture for DSP Applications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF reconfigurable coprocessors, filter coprocessor architecture, systolic architectures and digital signal processing, pipelined architectures, low-power architectures
10Amit Singh 0001, Luca Macchiarulo, Arindam Mukherjee 0001, Malgorzata Marek-Sadowska A novel high throughput reconfigurable FPGA architecture. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Alexis Vartanian, Jean-Luc Béchennec, Nathalie Drach-Temam The Best Distribution for a Parallel OpenGL 3D Engine with Texture Caches. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF texture mapping, Cache memories, parallel rendering, multiprocessing, application specific architecture
Displaying result #501 - #600 of 665 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license