The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Cache with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1978 (15) 1979-1981 (20) 1982-1983 (21) 1984-1985 (28) 1986 (23) 1987 (35) 1988 (61) 1989 (73) 1990 (96) 1991 (85) 1992 (81) 1993 (130) 1994 (154) 1995 (188) 1996 (193) 1997 (259) 1998 (253) 1999 (352) 2000 (385) 2001 (377) 2002 (510) 2003 (560) 2004 (726) 2005 (744) 2006 (745) 2007 (796) 2008 (715) 2009 (674) 2010 (441) 2011 (398) 2012 (414) 2013 (427) 2014 (471) 2015 (502) 2016 (590) 2017 (657) 2018 (688) 2019 (648) 2020 (562) 2021 (514) 2022 (475) 2023 (474) 2024 (106)
Publication types (Num. hits)
article(4878) book(11) data(2) incollection(53) inproceedings(10541) phdthesis(180) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(720) ISCA(311) IEEE Trans. Computers(298) MICRO(222) HPCA(220) ICCD(208) DATE(202) IPDPS(182) IEEE Trans. Parallel Distribut...(169) ICS(130) IEEE Access(130) DAC(125) PaCT(123) ICPP(122) IEEE Trans. Very Large Scale I...(118) ASPLOS(113) More (+10 of total 2245)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 9462 occurrences of 2787 keywords

Results
Found 15666 publication records. Showing 15666 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
35Ramya Prabhakar, Shekhar Srikantaiah, Christina M. Patrick, Mahmut T. Kandemir Dynamic storage cache allocation in multi-server architectures. Search on Bibsonomy SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
35Sourav Roy H-NMRU: A Low Area, High Performance Cache Replacement Policy for Embedded Processors. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
35Anca Mariana Molnos, Marc J. M. Heijligers, Sorin Dan Cotofana Compositional, dynamic cache management for embedded chip multiprocessors. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Santanu Kumar Dash 0001, Thambipillai Srikanthan Rapid estimation of instruction cache hit rates using loop profiling. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Yu Sun 0006, Wei Zhang 0002 Adaptive Drowsy Cache Control for Java Applications. Search on Bibsonomy EUC (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Riku Saikkonen, Eljas Soisalon-Soininen Cache-sensitive Memory Layout for Binary Trees. Search on Bibsonomy IFIP TCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Ali-Asghar Safaei, Mostafa Haghjoo, Sulmaz Abdi Semantic cache schema for query processing in mobile databases. Search on Bibsonomy ICDIM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Song Jiang 0001, Kei Davis, Xiaodong Zhang 0001 Coordinated Multilevel Buffer Cache Management with Consistent Access Locality Quantification. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multilevel caching, locality, Replacement algorithm, networked file system
35Yoav Etsion, Dror G. Feitelson L1 Cache Filtering Through Random Selection of Memory References. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Yuho Jin, Eun Jung Kim 0001, Ki Hwan Yum A Domain-Specific On-Chip Network Design for Large Scale Cache Systems. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35John Shield, Peter Sutton, Philip Machanick Analysis of Kernel Effects on Optimisation Mismatch in Cache Reconfiguration. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Domingo Benitez, Juan C. Moure, Dolores Rexachs, Emilio Luque Adaptive L2 Cache for Chip Multiprocessors. Search on Bibsonomy Euro-Par Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Mohsen Soryani, Mohsen Sharifi, Mohammad Hossein Rezvani Performance Evaluation of Cache Memory Organizations in Embedded Systems. Search on Bibsonomy ITNG The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Ig-hoon Lee, Junho Shim, Sang-goo Lee, Jonghoon Chun CST-Trees: Cache Sensitive T-Trees. Search on Bibsonomy DASFAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Feiqi Su, Xudong Shi 0003, Gang Liu, Ye Xia 0001, Jih-Kwon Peir Comparative evaluation of multi-core cache occupancy strategies. Search on Bibsonomy ICPADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Sung-Joon Jang, Moo-Kyoung Chung, Jaemoon Kim, Chong-Min Kyung Cache Miss-Aware Dynamic Stack Allocation. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Ann Gordon-Ross, Frank Vahid A Self-Tuning Configurable Cache. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Francesco Silvestri 0001 On the Limits of Cache-Oblivious Matrix Transposition. Search on Bibsonomy TGC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Guoliang Li 0001, Jianhua Feng, Na Ta 0001, Yong Zhang 0002, Lizhu Zhou SCEND: An Efficient Semantic Cache to Adequately Explore Answerability of Views. Search on Bibsonomy WISE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35John A. Gunnels, Fred G. Gustavson, Keshav Pingali, Kamen Yotov Is Cache-Oblivious DGEMM Viable? Search on Bibsonomy PARA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Kim M. Hazelwood, Robert S. Cohn A Cross-Architectural Interface for Code Cache Manipulation. Search on Bibsonomy CGO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Stanley L. C. Fung, J. Gregory Steffan Improving cache locality for thread-level speculation. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Ozcan Ozturk 0001, G. Chen, Mahmut T. Kandemir, Mustafa Karaköy Cache miss clustering for banked memory systems. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Hakjoo Lee, Jonghyun Suh, Sungwon Jung, Sooyoung Lee, Junguck Lee A Timestamp Tree-Based Cache Invalidation Report Scheme in Mobile Computing Environments. Search on Bibsonomy International Conference on Computational Science (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Guiyi Wei, Jun Yu, Hanxiao Shi, Yun Ling A Semantic-Driven Cache Management Approach for Mobile Applications. Search on Bibsonomy International Conference on Computational Science (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Won-Sik Kim, Woong-Kee Loh, Wook-Shin Han CC-GiST: Cache Conscious-Generalized Search Tree for Supporting Various Fast Intelligent Applications. Search on Bibsonomy ISI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Salvador Petit, Noel Tomás, Julio Sahuquillo, Ana Pont An execution-driven simulation tool for teaching cache memories in introductory computer organization courses. Search on Bibsonomy WCAE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Lisa R. Hsu, Ravishankar R. Iyer 0001, Srihari Makineni, Steven K. Reinhardt, Donald Newell Exploring the cache design space for large scale CMPs. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Antonio Martí Campoy, Isabelle Puaut, Angel Perles Ivars, José V. Busquets-Mataix Cache Contents Selection for Statically-Locked Instruction Caches: An Algorithm Comparison. Search on Bibsonomy ECRTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Sandhya Narayan, Julee Pandya, Prasant Mohapatra, Dipak Ghosal Analysis of Windowing and Peering Schemes for Cache Coherency in Mobile Devices. Search on Bibsonomy NETWORKING The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Xiaoping Zhu, Teng-Tiow Tay A Compiler-Controlled Instruction Cache Architecture for an Embedded Low Power Microprocessor. Search on Bibsonomy CIT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Hou Rui, Fuxin Zhang, Weiwu Hu A Memory Bandwidth Effective Cache Store Miss Policy. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Xin Yu, Zvi M. Kedem A distributed adaptive cache update algorithm for the dynamic source routing protocol. Search on Bibsonomy INFOCOM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Lei Shi 0001, Bing Song, Xiaoguang Ding, Zhimin Gu, Lin Wei Web Prefetching Control Model Based on Prefetch-Cache Interaction. Search on Bibsonomy SKG The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Kugan Vivekanandarajah, Thambipillai Srikanthan Custom Instruction Filter Cache Synthesis for Low-Power Embedded Systems. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Jie Tao 0001, Wolfgang Karl Optimization-Oriented Visualization of Cache Access Behavior. Search on Bibsonomy International Conference on Computational Science (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Jan-Willem van de Waerdt, Stamatis Vassiliadis, Jean-Paul van Itegem, Hans Van Antwerpen The TM3270 Media-Processor Data Cache. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Wei Zhang 0002 Computing Cache Vulnerability to Transient Errors and Its Implication. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Keqiu Li, Hong Shen 0001, Keishi Tajima Cache Design for Transcoding Proxy Caching. Search on Bibsonomy NPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Zhiwen Xu, Xiaoxin Guo, Yunjie Pang, Zhengxuan Wang The Transmitted Strategy of Proxy Cache Based on Segmented Video. Search on Bibsonomy NPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Zhiwen Xu, Xiaoxin Guo, Yunjie Pang, Zhengxuan Wang The Strategy of Batch Using Dynamic Cache for Streaming Media. Search on Bibsonomy NPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Kristof Beyls, Erik H. D'Hollander Platform-Independent Cache Optimization by Pinpointing Low-Locality Reuse. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Joshua L. Kihm, Daniel A. Connors Implementation of Fine-Grained Cache Monitoring for Improved SMT Scheduling. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Rui Min, Zhiyong Xu, Yiming Hu, Wen-Ben Jone Partial Tag Comparison: A New Technology for Power-Efficient Set-Associative Cache Designs. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Soontae Kim, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Anand Sivasubramaniam, Mary Jane Irwin Partitioned instruction cache architecture for energy efficiency. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Caches, energy, memory system
35Sashikanth Chandrasekaran, Roger Bamford Shared Cache - The Future of Parallel Databases. Search on Bibsonomy ICDE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Zeshan Chishti, Michael D. Powell, T. N. Vijaykumar Distance Associativity for High-Performance Energy-Efficient Non-Uniform Cache Architectures. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Hsung-Pin Chang, Ray-I Chang, Wei-Kuan Shih, Ruei-Chuan Chang Real-Time Disk Scheduling with On-Disk Cache Conscious. Search on Bibsonomy RTCSA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Kwong Yuen Lai, Zahir Tari, Peter Bertók An Analytical Study of Broadcast Based Cache Invalidation in Mobile Computing Networks. Search on Bibsonomy OTM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Paolo D'Alberto, Alexandru Nicolau, Alexander V. Veidenbaum A Data Cache with Dynamic Mapping. Search on Bibsonomy LCPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Sadhna Ahuja, Tao Wu, Sudhir S. Dixit On the Effects of Content Compression on Web Cache Performance. Search on Bibsonomy ITCC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Xiaotong Zhuang, Hsien-Hsin S. Lee A Hardware-based Cache Pollution Filtering Mechanism for Aggressive Prefetches. Search on Bibsonomy ICPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Sandeep Sen, Siddhartha Chatterjee, Neeraj Dumir Towards a theory of cache-efficient algorithms. Search on Bibsonomy J. ACM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF I/O complexity, lower bound, Hierarchical memory
35Terry Lyon, Eric Delano, Cameron McNairy, Dean Mulla Data Cache Design Considerations for the Itanium® 2 Processor. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Michael D. Powell, Amit Agarwal 0001, T. N. Vijaykumar, Babak Falsafi, Kaushik Roy 0001 Reducing set-associative cache energy via way-prediction and selective direct-mapping. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35Udaykiran Vallamsetty, Prasant Mohapatra, Ravishankar K. Iyer, Krishna Kant 0001 Improving Cache Performance of Network Intensive Workloads. Search on Bibsonomy ICPP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35Q. G. Samdani, Mitchell A. Thornton Cache Resident Data Locality Analysis. Search on Bibsonomy MASCOTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
35Andrea Prati 0001 Exploring multimedia applications locality to improve cache performance. Search on Bibsonomy ACM Multimedia The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
35Jung-Hoon Lee, Jang-Soo Lee, Shin-Dug Kim A Selective Temporal and Aggressive Spatial Cache System Based on Time Interval. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
35John Kalamatianos, Alireza Khalafi, David R. Kaeli, Waleed Meleis Analysis of Temporal-Based Program Behavior for Improved Instruction Cache Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF program reordering, graph pruning, graph coloring, Instruction caches, temporal locality, conflict misses
35Jun Cai, Kian-Lee Tan Energy-efficient selective cache invalidation. Search on Bibsonomy Wirel. Networks The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
35David L. Rhodes, Wayne H. Wolf Unbalanced Cache Systems. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
35Alvin R. Lebeck Cache conscious programming in undergraduate computer science. Search on Bibsonomy SIGCSE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
35Brad Calder, Chandra Krintz, Simmi John, Todd M. Austin Cache-Conscious Data Placement. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
35Chen Ding, Steve Carr 0001, Philip H. Sweany Modulo Scheduling with Cache Reuse Information. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
35Todd M. Austin, Dionisios N. Pnevmatikatos, Gurindar S. Sohi Streamlining Data Cache Access with Fast Address Calculation. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
35Brad Calder, Dirk Grunwald Next Cache Line and Set Prediction. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
35Josep Torrellas, Andrew Tucker, Anoop Gupta Benefits of Cache-Affinity Scheduling in Shared-Memory Multiprocessors: A Summary. Search on Bibsonomy SIGMETRICS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF UNIX System V
35Philip J. Koopman Jr., Peter Lee 0001, Daniel P. Siewiorek Cache Behavior of Combinator Graph Reduction. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF self-modifying code, combinators, abstract machine, graph reduction
35Christoph Scheurich, Michel Dubois 0001 The design of a lockup-free cache for high-performance multiprocessors. Search on Bibsonomy SC The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
35Calvin K. Tang Cache system design in the tightly coupled multiprocessor system. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
35Rajiv A. Ravindran, Michael L. Chu, Scott A. Mahlke Compiler-managed partitioned data caches for low power. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF hardware/software co-managed cache, instruction-driven cache management, partitioned cache, low-power, embedded processor
35Ann Gordon-Ross, Susan Cotterell, Frank Vahid Tiny instruction caches for low power embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF embedded systems., fixed program, low power, instruction cache, low energy, architecture tuning, Loop cache, filter cache
35Liuxi Yang, Josep Torrellas Speeding up the Memory Hierarchy in Flat COMA Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF cache-only memory architectures, cache coherence protocols, cache hierarchies, scalable shared-memory multiprocessors
35David J. Lilja The Impact of Parallel Loop Scheduling Strategies on Prefetching in a Shared Memory Multiprocessor. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF parallel loop scheduling, numerical Fortran programs, single-word cache blocks, guidedself-scheduling, scheduling, parallel programming, prefetching, shared memory multiprocessor, shared memory systems, cache coherence, trace-driven simulations, buffer storage, data caches, memory performance, false sharing, performanceevaluation, cache pollution
35Deze Zeng, Minyi Guo, Song Guo 0001, Mianxiong Dong, Hai Jin 0001 The Design and Evaluation of a Selective Way Based Trace Cache. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF instruction fetch unit design, selective way, energy efficient, computer architecture, trace cache
35Junghoon Lee, In-Hye Shin, Gyung-Leen Park, Ikchan Kim, Yoon-Jung Rhee Design of a Cache Management Scheme for Gateways on the Vehicular Telematics Network. Search on Bibsonomy ICCSA (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Vehicular telematics network, gateway cache, advanced route information retrieval, directional weight, angle-based scheduling
35Han Wan, Xiaopeng Gao, Zhiqiang Wang Cache simulator based on GPU acceleration. Search on Bibsonomy SimuTools The full citation details ... 2009 DBLP  DOI  BibTeX  RDF trace-driven, multi-core, GPGPU, CUDA, cache simulator
35Anant Vishnoi, Preeti Ranjan Panda, M. Balakrishnan Online cache state dumping for processor debug. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cache compression, processor debug, silicon debug, design for debug, post-silicon validation
35Jan Reineke 0001, Daniel Grund Relative competitive analysis of cache replacement policies. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF wcet analysis, predictability, worst-case execution time, cache performance, replacement policy
35Young-Duk Kim, Jin-Wook Kim, Won-Seok Kang, Dong-Ha Lee Route Cache Based Load Balancing Scheme for Mobile Ad-Hoc Networks. Search on Bibsonomy NBiS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Route Cache, Route Reply, MANET, Load Balancing
35Jie Tao 0001, Marcel Kunze, Wolfgang Karl Evaluating the Cache Architecture of Multicore Processors. Search on Bibsonomy PDP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF OpenMp application, Simulation, Multicore processor, Cache performance
35Vahid Kazempour, Alexandra Fedorova, Pouya Alagheband Performance Implications of Cache Affinity on Multicore Processors. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cache affinity, scheduling, performance evaluation, multicore processors
35Mahmoud Ben Naser, Csaba Andras Moritz Power and performance tradeoffs with process variation resilient adaptive cache architectures. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF process variations, leakage power, adaptive cache
35Mary Magdalene Jane F., R. Parameswaran, R. Nadarajan, Maytham Safar PINE-guided cache replacement policy for location-dependent data in mobile environment. Search on Bibsonomy PETRA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF location dependent information services, mobile computing, cache replacement
35Lars Arge, Michael T. Goodrich, Michael J. Nelson 0002, Nodari Sitchinava Fundamental parallel algorithms for private-cache chip multiprocessors. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallel external memory, pem, private-cache cmp
35Yun Liang 0001, Tulika Mitra Cache modeling in probabilistic execution time analysis. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF probabilistic execution time analysis, cache modeling
35Matteo Frigo, Volker Strumpen The memory behavior of cache oblivious stencil computations. Search on Bibsonomy J. Supercomput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Performance analysis, Analysis of algorithms, System simulation, Cache oblivious algorithms, Stencil computations
35Xin Chen 0034, Haining Wang, Shansi Ren, Xiaodong Zhang 0001 Maintaining Strong Cache Consistency for the Domain Name System. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF middleware, cache consistency, Domain name system, lease
35Li Zhao 0002, Ravi R. Iyer 0001, Srihari Makineni, Ramesh Illikkal, Jaideep Moses, Donald Newell Constraint-Aware Large-Scale CMP Cache Design. Search on Bibsonomy HiPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Large Scale CMP, constraint-aware design, CAAM, LCMP, cache hierarchy
35Bingsheng He, Yinan Li, Qiong Luo 0001, Dongqing Yang EaseDB: a cache-oblivious in-memory query processor. Search on Bibsonomy SIGMOD Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF in-memory query processing, cache-oblivious
35Zhijun Wang 0001, Xiaopeng Fan, Jiannong Cao 0001 Design a Hierarchical Cache System for Effective Loss Recovery in Reliable Multicast. Search on Bibsonomy APPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Reliable multicast, cooperative cache, replacement algorithm, loss recovery
35Jaw-Wei Chi, Chia-Lin Yang, Yi-Jung Chen, Jian-Jia Chen Cache leakage control mechanism for hard real-time systems. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache leakage control policy, hard real-time system
35Yong Chen 0001, Surendra Byna, Xian-He Sun Data access history cache and associated data prefetching mechanisms. Search on Bibsonomy SC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF data access performance, prefetching simulation, cache memory, data prefetching, memory performance
35Leticia Pascual, Alejandro Torrentí, Julio Sahuquillo, José Flich Understanding cache hierarchy interactions with a program-driven simulator. Search on Bibsonomy WCAE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi-level caches, cache organization, write policies
35Shimin Chen, Phillip B. Gibbons, Michael Kozuch, Vasileios Liaskovitis, Anastassia Ailamaki, Guy E. Blelloch, Babak Falsafi, Limor Fix, Nikos Hardavellas, Todd C. Mowry, Chris Wilkerson Scheduling threads for constructive cache sharing on CMPs. Search on Bibsonomy SPAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF constructive cache sharing, parallel depth first, thread granularity, working set profiling, chip multiprocessors, scheduling algorithms, work stealing
35Michael A. Bender, Martin Farach-Colton, Jeremy T. Fineman, Yonatan R. Fogel, Bradley C. Kuszmaul, Jelani Nelson Cache-oblivious streaming B-trees. Search on Bibsonomy SPAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF buffered repository tree, cache-oblivious B-tree, cascading array, deamortized, lookahead array, shuttle tree
35Ari Juels, Markus Jakobsson, Tom N. Jagatic Cache Cookies for Browser Authentication (Extended Abstract). Search on Bibsonomy S&P The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cache cookies, privacy, personalization, malware, Web browser, phishing, pharming
35Ilya Gluhovsky, Brian O'Krafka Comprehensive multiprocessor cache miss rate generation using multivariate models. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF queuing models, extrapolation, isotonic regression, Additive models, cache miss rates
35Sandro Bartolini, Cosimo Antonio Prete Optimizing instruction cache performance of embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Embedded systems, code generation, cache performance, conflict miss, code reordering
Displaying result #501 - #600 of 15666 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license