The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase D-latch (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1989 (15) 1990-1993 (16) 1994-1995 (21) 1996-1997 (20) 1998-1999 (32) 2000 (16) 2001 (19) 2002 (28) 2003 (34) 2004 (32) 2005 (36) 2006 (44) 2007 (40) 2008 (31) 2009 (29) 2010 (25) 2011 (26) 2012 (22) 2013 (23) 2014 (18) 2015 (24) 2016 (29) 2017 (32) 2018 (42) 2019 (34) 2020 (34) 2021 (34) 2022 (35) 2023 (52) 2024 (16)
Publication types (Num. hits)
article(312) incollection(1) inproceedings(546)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 382 occurrences of 260 keywords

Results
Found 861 publication records. Showing 859 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Debesh Bhatta, Suvadeep Banerjee, Abhijit Chatterjee A Noise Aware CML Latch Modelling for Large System Simulation. Search on Bibsonomy VLSID The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Dongsuk Jeon, Michael B. Henry, Yejoong Kim, Inhee Lee, Zhengya Zhang, David T. Blaauw, Dennis Sylvester An Energy Efficient Full-Frame Feature Extraction Accelerator With Shift-Latch FIFO in 28 nm CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Hong-Ting Lin, Yi-Lin Chuang, Zong-Han Yang, Tsung-Yi Ho Pulsed-Latch Utilization for Clock-Tree Power Optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Taehui Na, Seung-Han Woo, Jisu Kim, Hanwool Jeong, Seong-Ook Jung Comparative Study of Various Latch-Type Sense Amplifiers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16I-Chyn Wey, Yu-Sheng Yang, Bing-Chen Wu, Chien-Chang Peng A low power-delay-product and robust Isolated-DICE based SEU-tolerant latch circuit design. Search on Bibsonomy Microelectron. J. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Neeta Pandey, Kirti Gupta, Maneesha Gupta An efficient triple-tail cell based PFSCL D latch. Search on Bibsonomy Microelectron. J. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Weicheng Qiu, Xiang-Ai Cheng, Rui Wang, Zhongjie Xu, Chao Shen The transient analysis of latch-up in CMOS transmission gate induced by laser. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Niklas U. Andersson, Mark Vesterbacka A Vernier Time-to-Digital Converter With Delay Latch Chain Architecture. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Jianguo Hu, Zhikui Duan, Junrui Qin Multiple nodes upset tolerance DICE latch based on on-state transistor. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Hao Zheng, Xiangning Fan A preamplifier-latch comparator for high accuracy high speed switched-capacitors pipelined ADC. Search on Bibsonomy CSNDSP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Zhengfeng Huang A high performance SEU-tolerant latch for nanoscale CMOS technology. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Hayoung Kim, Dongyoung Kim, Jae-Joon Kim, Sungjoo Yoo, Sunggu Lee Coarse-grained Bubble Razor to exploit the potential of two-phase transparent latch designs. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Huaguo Liang, Zhi Wang, Zhengfeng Huang, Aibin Yan Design of a Radiation Hardened Latch for Low-Power Circuits. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Dennis Oland Larsen, Pere Llimos Muntal, Ivan H. H. Jørgensen, Erik Bruun High-voltage pulse-triggered SR latch level-shifter design considerations. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Puwanan Chumtong, Masaru Kojima, Mitsuhiro Horade, Kenichi Ohara, Kazuto Kamiyama, Yasushi Mae, Yoshikatsu Akiyama, Masayuki Yamato, Tatsuo Arai Analytical design and fabrication of thermal latch valve driven flexible microscaffold for applications in tissue engineering. Search on Bibsonomy NEMS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Sang H. Dhong, Richard Guo, Ming-Zhang Kuo, Ping-Lin Yang, Cheng-Chung Lin, Kevin Huang 0005, Min-Jer Wang, Wei Hwang A 0.42V Vccmin ASIC-compatible pulse-latch solution as a replacement for a traditional master-slave flip-flop in a digital SOC. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Katerina Katsarou, Yiorgos Tsiatouhas Double node charge sharing SEU tolerant latch design. Search on Bibsonomy IOLTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Ravi Kanth Uppu, Ravi Tej Uppu, Adit D. Singh, Ilia Polian Better-than-Worst-Case Timing Design with Latch Buffers on Short Paths. Search on Bibsonomy VLSID The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Stephan Held, Ulrike Schorr Post-Routing Latch Optimization for Timing Closure. Search on Bibsonomy DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Jong-In Kim, Ba-Ro-Saim Sung, Wan Kim, Seung-Tak Ryu A 6-b 4.1-GS/s Flash ADC With Time-Domain Latch Interpolation in 90-nm CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Justin J. Levandoski, Sudipta Sengupta The BW-Tree: A Latch-Free B-Tree for Log-Structured Flash Storage. Search on Bibsonomy IEEE Data Eng. Bull. The full citation details ... 2013 DBLP  BibTeX  RDF
16Hyoungjun Na, Tetsuo Endoh A High Performance Current Latch Sense Amplifier with Vertical MOSFET. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Tadao Matsunaga, Kentaro Totsu, Masayoshi Esashi, Yoichi Haga Tactile display using shape memory alloy micro-coil actuator and magnetic latch mechanism. Search on Bibsonomy Displays The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Bill Teng, Jason Helge Anderson Latch-Based Performance Optimization for Field-Programmable Gate Arrays. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Chih-Long Chang, Iris Hui-Ru Jiang Pulsed-Latch Replacement Using Concurrent Time Borrowing and Clock Gating. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Rahebeh Niaraki Asli, Saeideh Shirinzadeh High Efficiency Time Redundant Hardened Latch for Reliable Circuit Design. Search on Bibsonomy J. Electron. Test. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16I-Chyn Wey, Yi-Jung Lan, Chien-Chang Peng Reliable ultra-low-voltage low-power probabilistic-based noise-tolerant latch design. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Luca Sterpone SEL-UP: A CAD tool for the sensitivity analysis of radiation-induced Single Event Latch-Up. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Jie Chen, Zhengwei Du Device simulation studies on latch-up effects in CMOS inverters induced by microwave pulse. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Ramin Rajaei, Mahmoud Tabandeh, Mahdi Fazeli Low cost soft error hardened latch designs for nano-scale CMOS technology in presence of process variation. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Jie Chen, Zhengwei Du Understanding and modeling of internal transient latch-up susceptibility in CMOS inverters due to microwave pulses. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Melvin Eze, Ozcan Ozturk 0001, Vijaykrishnan Narayanan Staggered latch bus: A reliable offset switched architecture for long on-chip interconnect. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Sangmin Kim, Duckhwan Kim 0001, Youngsoo Shin Pulsed-latch ASIC synthesis in industrial design flow. Search on Bibsonomy ASP-DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Tae-Keun Kim, Dong Yeop Kim, Dong Hoon Cha, Seung Hwan Lim, Bong-Seok Kim, Chang-Woo Park Solenoid-based and latch-typed brake system for in-wheel module. Search on Bibsonomy URAI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Takashi Horikawa Latch-free data structures for DBMS: design, implementation, and evaluation. Search on Bibsonomy SIGMOD Conference The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Dan Stoica, Mario Motz A dual vertical Hall latch with direction detection. Search on Bibsonomy ESSCIRC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Xuanchang Zhou, Guoqiang Hang Design of ternary D flip-flop using one latch with neuron-MOS literal circuit. Search on Bibsonomy ICNC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Samuel I. Ward, Natarajan Viswanathan, Nancy Y. Zhou, Cliff C. N. Sze, Zhuo Li 0001, Charles J. Alpert, David Z. Pan Clock power minimization using structured latch templates and decision tree induction. Search on Bibsonomy ICCAD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Minsik Cho, Hua Xiang 0001, Haoxing Ren, Matthew M. Ziegler, Ruchir Puri LatchPlanner: latch placement algorithm for datapath-oriented high-performance VLSI designs. Search on Bibsonomy ICCAD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Vladimir M. Milovanovic, Horst Zimmermann A fully differential CMOS self-biased two-stage preamplifier-latch threshold detection comparator. Search on Bibsonomy ISCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Chorng-Sii Hwang, Ting-Li Chu, Po-Hsun Chen DLL-based programmable clock multiplier using differential toggle-pulsed latch. Search on Bibsonomy SoCC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Zong-Han Yang, Tsung-Yi Ho Timing-aware clock gating of pulsed-latch circuits for low power design. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Pi-Feng Chiu, Meng-Fan Chang, Che-Wei Wu, Ching-Hao Chuang, Shyh-Shyuan Sheu, Yu-Sheng Chen, Ming-Jinn Tsai Low Store Energy, Low VDDmin, 8T2R Nonvolatile Latch and SRAM With Vertical-Stacked Resistive Memory (Memristor) Devices for Low Power Mobile Applications. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Xiayu Li, Song Jia, Limin Liu, Yuan Wang 0001 A Pulse-Generator-Free Hybrid Latch Based Flip-Flop (PHLFF). Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Seungwhun Paik, Inhak Han, Sangmin Kim, Youngsoo Shin Clock Gating Synthesis of Pulsed-Latch Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Bing Li 0005, Ning Chen 0006, Ulf Schlichtmann Statistical Timing Analysis for Latch-Controlled Circuits With Reduced Iterations and Graph Transformations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Terrence S. T. Mak Truncation error analysis of MTBF computation for multi-latch synchronizers. Search on Bibsonomy Microelectron. J. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Haiqing Nan, Ken Choi Low cost and highly reliable hardened latch design for nanoscale CMOS technology. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Peter Grossmann, Miriam Leeser, Marvin Onabajo Minimum Energy Analysis and Experimental Verification of a Latch-Based Subthreshold FPGA. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Haiqing Nan, Ken Choi High Performance, Low Cost, and Robust Soft Error Tolerant Latch Designs for Nanoscale CMOS Technology. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Minjae Lee A 20GHz variability-aware robust, high-speed and low-power MOS CML latch. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Khosrov Dabbagh-Sadeghipour An accurate track-and-latch comparator. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Chan-Soo Lee, Jung-Woong Park, Hyung-Gyoo Lee, Nam-Soo Kim, Hai-Feng Jin Latch-Controlled Current Cell for Low Power Current-Steering D/A Converter. Search on Bibsonomy UKSim The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Yongsuk Choi, Yong-Bin Kim, Fabrizio Lombardi Soft error masking latch for sub-threshold voltage operation. Search on Bibsonomy MWSCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Keisuke Inoue, Mineo Kaneko Statistical timing-yield driven scheduling and FU binding in latch-based datapath synthesis. Search on Bibsonomy MWSCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Ricky Yiu-kee Choi, Chi-Ying Tsui A novel offset cancellation technique for dynamic comparator latch. Search on Bibsonomy MWSCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Chih-Long Chang, Iris Hui-Ru Jiang, Yu-Ming Yang, Evan Y.-W. Tsai, Aki S.-H. Chen Novel pulsed-latch replacement based on time borrowing and spiral clustering. Search on Bibsonomy ISPD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Thomas Kissinger, Benjamin Schlegel, Dirk Habich, Wolfgang Lehner KISS-Tree: smart latch-free in-memory indexing on modern architectures. Search on Bibsonomy DaMoN The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Kazuyuki Tanimura, Nikil D. Dutt LRCG: latch-based random clock-gating for preventing power analysis side-channel attacks. Search on Bibsonomy CODES+ISSS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Haiqing Nan, Ken Choi Soft error tolerant latch design with low cost for nanoelectronic systems. Search on Bibsonomy ISCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Norhuzaimin Julai, Alexandre Yakovlev, Alexandre V. Bystrov Error detection and correction of single event upset (SEU) tolerant latch. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Keisuke Inoue, Mineo Kaneko Optimal register-type selection during resource binding in flip-flop/latch-based high-level synthesis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Riadul Islam A highly reliable SEU hardened latch and high performance SEU hardened flip-flop. Search on Bibsonomy ISQED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Mohammed Shayan, Virendra Singh, Adit D. Singh, Masahiro Fujita SEU Tolerant Robust Latch Design. Search on Bibsonomy VDAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Keisuke Inoue, Mineo Kaneko Framework for Latch-based High-level Synthesis Using Minimum-delay Compensation. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Haipeng Zhang, Ruisheng Qi, Liang Zhang, Buchun Su, Dejun Wang Vertical Gate RF SOI LIGBT for SPICs with Significantly Improved Latch-Up Immunity. Search on Bibsonomy VLSI Design The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Seungwhun Paik, Seonggwan Lee, Youngsoo Shin Retiming Pulsed-Latch Circuits With Regulating Pulse Width. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Yi-Lin Chuang, Sangmin Kim, Youngsoo Shin, Yao-Wen Chang Pulsed-Latch Aware Placement for Timing-Integrity Optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Jason Sewall, Jatin Chhugani, Changkyu Kim, Nadathur Satish, Pradeep Dubey PALM: Parallel Architecture-Friendly Latch-Free Modifications to B+ Trees on Many-Core Processors. Search on Bibsonomy Proc. VLDB Endow. The full citation details ... 2011 DBLP  BibTeX  RDF
16Ippokratis Pandis, Pinar Tözün, Ryan Johnson 0001, Anastasia Ailamaki PLP: Page Latch-free Shared-everything OLTP. (PDF / PS) Search on Bibsonomy Proc. VLDB Endow. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Dionyz Pogany, Sergey Bychikhin, Michael Heer, W. Mamanee, Erich Gornik Application of transient interferometric mapping method for ESD and latch-up analysis. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Haiqing Nan, Ken Choi Novel radiation hardened latch design considering process, voltage and temperature variations for nanoscale CMOS technology. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Roxane Llido, J. Gomez, Vincent Goubier, N. Froidevaux, L. Dufayard, Gérald Haller, Vincent Pouget, Dean Lewis Photoelectric Laser Stimulation applied to Latch-Up phenomenon and localization of parasitic transistors in an industrial failure analysis laboratory. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Youngsoo Shin, Seungwhun Paik Pulsed-Latch Circuits: A New Dimension in ASIC Design. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Hai Yu, Michael Nicolaidis, Lorena Anghel, Nacer-Eddine Zergainoh Efficient Fault Detection Architecture Design of Latch-Based Low Power DSP/MCU Processor. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF GRAAL, fault detection, DSP, soft error, SETs
16Sangmin Kim, Inhak Han, Seungwhun Paik, Youngsoo Shin Pulser gating: A clock gating of pulsed-latch circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Bill Teng, Jason Helge Anderson Latch-Based Performance Optimization for FPGAs. Search on Bibsonomy FPL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Carlos Aristoteles De la Cruz-Blas, Michael M. Green CMOS latch based on a class-AB transconductor. Search on Bibsonomy ECCTD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Seungwhun Paik, Gi-Joon Nam, Youngsoo Shin Implementation of pulsed-latch and pulsed-register circuits to minimize clocking power. Search on Bibsonomy ICCAD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Yi-Lin Chuang, Hong-Ting Lin, Tsung-Yi Ho, Yao-Wen Chang, Diana Marculescu PRICE: Power reduction by placement and clock-network co-synthesis for pulsed-latch designs. Search on Bibsonomy ICCAD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Peiyuan Wang, Xiang Chen 0010, Yiran Chen 0001, Hai Li 0001, Seung H. Kang, Xiaochun Zhu, Wenqing Wu A 1.0V 45nm nonvolatile magnetic latch design and its robustness analysis. Search on Bibsonomy CICC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Jong-In Kim, Wan Kim, Barosaim Sung, Seung-Tak Ryu A time-domain latch interpolation technique for low power flash ADCs. Search on Bibsonomy CICC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Hong-Ting Lin, Yi-Lin Chuang, Tsung-Yi Ho Pulsed-latch-based clock tree migration for dynamic power reduction. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
16Li Yu, Jingyong Zhang, Lei Wang 0029, Jianguo Lu A 12-bit fully differential SAR ADC with dynamic latch comparator for portable physiological monitoring applications. Search on Bibsonomy BMEI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Chih-Ting Yeh, Yung-Chih Liang, Ming-Dou Ker Design of power-rail ESD clamp circuit with adjustable holding voltage against mis-trigger or transient-induced latch-on events. Search on Bibsonomy ISCAS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Ramin Rajaei, Mahmoud Tabandeh, Bizhan Rashidian Single event upset immune latch circuit design using C-element. Search on Bibsonomy ASICON The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Sandeep Sriram, Haiqing Nan, Ken Choi Low power latch design in near sub-threshold region to improve reliability for soft error. Search on Bibsonomy ISQED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Tomoyuki Nakabayashi, Takahiro Sasaki, Kazuhiko Ohno, Toshio Kondo Low power semi-static TSPC D-FFs using split-output latch. Search on Bibsonomy ISOCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Pan Dong, Long Fan, Suge Yue, Hongchao Zheng, Shougang Du New Latch-Up Model for Deep Sub-micron Integrated Circuits. Search on Bibsonomy DASC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16S. H. Woo, H. Kang, K. Park, S.-O. Jung Offset voltage estimation model for latch-type sense amplifiers. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16X. She, N. Li Low-overhead single-event upset hardened latch using programmable resistance cells. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Seungwhun Paik, Insup Shin, Taewhan Kim, Youngsoo Shin HLS-l: A High-Level Synthesis Framework for Latch-Based Architectures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Karl Malbrain A Blink Tree latch method and protocol to support synchronous node deletion Search on Bibsonomy CoRR The full citation details ... 2010 DBLP  BibTeX  RDF
16Po-Tsang Huang, Xin-Ru Lee, Hsie-Chia Chang, Chen-Yi Lee, Wei Hwang A Low Power Differential Cascode Voltage Switch with Pass Gate Pulsed Latch for Viterbi Decoder. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Hossein Karimiyan Alidash, Vojin G. Oklobdzija Low-Power Soft Error Hardened Latch. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Augusto Tazzoli, Martina Cordoni, Paolo Colombo, C. Bergonzoni, Gaudenzio Meneghesso Time-To-Latch-Up investigation of SCR devices as ESD protection structures on 65 nm technology platform. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Anh-Tuan Do, Zhi-Hui Kong, Kiat Seng Yeo Criterion to Evaluate Input-Offset Voltage of a Latch-Type Sense Amplifier. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Yeonbae Chung, Weijie Cheng CMOS latch bit-cell array for low-power SRAM design. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Santosh N. Varanasi, Kenneth S. Stevens, Graham M. Birtwistle Concurrency Reduction of Untimed Latch Protocols - Theory and Practice. Search on Bibsonomy ASYNC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Seungwhun Paik, Lee-eun Yu, Youngsoo Shin Statistical time borrowing for pulsed-latch circuit designs. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 859 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license