The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "EURO-DAC"( http://dblp.L3S.de/Venues/EURO-DAC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/eurodac

Publication years (Num. hits)
1990 (121) 1991 (101) 1992 (121) 1993 (91) 1994 (107) 1995 (94) 1996 (87)
Publication types (Num. hits)
inproceedings(715) proceedings(7)
Venues (Conferences, Journals, ...)
EURO-DAC(722)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 94 occurrences of 55 keywords

Results
Found 722 publication records. Showing 722 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Bernd Kleinjohann, Elisabeth Kupitz Tool communication in an integrated synthesis environment. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Alice McKeon, Antony Wakeling The automatic diagnosis of faults in analogue and mixed-signal circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Ton Kalker Formal methods for silicon compilation. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Wim F. J. Verhaegh, Emile H. L. Aarts, Jan H. M. Korst, Paul E. R. Lippens Improved force-directed scheduling. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Tara Weber, Fabio Somenzi Periodic signal suppression in a concurrent fault simulator. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Tony Ambler, Jochen A. G. Jess, Hugo De Man (eds.) Proceedings of the conference on European design automation, EURO-DAC'91, Amsterdam, The Netherlands, 1991 Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Gjalt G. de Jong Data flow graphs: system specification with the most unrestricted semantics. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1K. Z. Dimopoulos, John N. Avaritsiotis, S. J. White Electrical modelling of lossy on-chip multilevel interconnecting lines. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1F. Crowet, Marc Davio, C. Dierieck, J. Durieu, G. Louis, Chantal Ykman-Couvreur PHIFACT a design space exploration program. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Georg Sigl, Ulf Schlichtmann Goal oriented slicing enumeration through shape function clipping. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Spyros Tragoudas, R. Farrell, Fillia Makedon Circuit partitioning into small sets: a tool to support testing with further applications. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Larry G. Jones Incremental switch-level simulation with zero/integer-delay. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Michele Favalli, Piero Olivo, Bruno Riccò A probabilistic fault model for analog faults. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Ernst G. Ulrich, Karen Panetta Lentz, Stephen R. Demba, Rahul Razdan Concurrent MIN-MAX simulation. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Larry McMurchie, Craig Anderson 0001, Gaetano Borriello Hybrid compiled/interpreted simulation of MOS circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Harald Gundlach, Bernd K. Koch, Klaus-Dieter Müller-Glaser On the selection of a partial scan path with respect to target faults. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1S. Bapat, James P. Cohoon SHARP-looking geometric partitioning. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Frank P. Burns, D. J. Kinniment, Albert Koelmans Correct interactive transformational synthesis of DSP hardware. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Einar J. Aas, Gunnar Nystu Experiments with autonomous test of PLAs. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Sanjiv Narayan, Frank Vahid, Daniel D. Gajski Translating system specifications to VHDL. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Paul E. R. Lippens, Jef L. van Meerbergen, Albert van der Werf, Wim F. J. Verhaegh, B. T. McSweeney, Jos Huisken, O. McArdle PHIDEO: a silicon compiler for high speed algorithms. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Thomas M. Niermann, Janak H. Patel HITEC: a test generation package for sequential circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Wanlin Cao, Y. Edmund Lien, Yuane Qiu, Li Shao A distributed engineering database management system for IC design. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Piet Wambacq, Georges G. E. Gielen, Willy Sansen Interactive symbolic distortion analysis of analogue integrated circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Jan Madsen Delay estimation for CMOS functional cells. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Martin Rudolph, Michael Neher, Wolfgang Rosenstiel Test scheduling and controller synthesis in the CADDY-system. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Achim G. Hoffmann Towards optimizing global MinCut partitioning. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Glenn Jennings GRTL: a graphical platform for pipelined system design. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Sandip Kundu, Indira Nair, Leendert M. Huisman, Vijay S. Iyengar Symbolic implication in test generation. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Louis-Oliver Donzelle, Pierre-François Dubois A new approach to layout of custom analog cells. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Masahiro Fujita, Yusuke Matsunaga, Taeko Kakuda On variable ordering of binary decision diagrams for the application of multi-level logic synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1David T. Blaauw, Daniel G. Saab, Prithviraj Banerjee, Jacob A. Abraham Functional abstraction of logic gates for switch-level simulation. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Farid Mheir-El-Saadi, Bozena Kaminska A framework for hierarchical performance analysis. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Douglas M. Grant, Peter B. Denyer Address Generation for array access based on modulus m counters. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Wayne H. Wolf Decomposing data machines. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Daniel Auvergne, Nadine Azémard, V. Bonzom, Denis Deschacht, Michel Robert Formal sizing rules of CMOS circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Werner John, Werner Rissiek, Karl L. Paap Circuit partitioning for waveform relaxation. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1D. Bochmann, F. Dresig, B. Steinbach A new decomposition method for multilevel circuit design. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Lalgudi N. Kannan, D. Sarma Fast heuristic algorithms for finite state machine minimization. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1David W. Knapp Datapath optimization using feedback. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1F. Monteiro, Bruno Rouzeyre, Georges Sagnes High level synthesis: a data path partitioning method dedicated to speed enhancement. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Klaus Gröning, Walter Heijenga Why to incorporate a data definition language into a CAD frameworks extension language. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Tadeusz Luba, Jerzy Kalinowski, Krzysztof Jasinski PLATO: a CAD tool for logic synthesis based on decomposition. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1P. Hallam, T. I. Pritchard, Gloria Childress Townsend Performance macromodelling and optimization of regular VLSI structures. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Luis París, G. Berbel, T. Osés Floorplanning strategy for mixed analog-digital VLSI integrated circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Koen Swings, Willy Sansen DONALD: a workbench for interactive design space exploration and sizing of analog circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Françoise Martinolle, Jean Claude Geffroy, Bernard Soulas Testability analysis of hierarchical finite state machines. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1James Haralambides, Fillia Makedon Iterative compaction: an improved approach to graph and circuit bisection. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Jos van Sas, Francky Catthoor, Peter Vandeput, Frank Rossaert, Hugo De Man Automated test pattern generation for the Cathedral-II/2nd architectural synthesis environment. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Robert B. Mueller-Thuns, Daniel G. Saab, Jacob A. Abraham Parallel switch-level simulation for VLSI. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Rumi Zahir, Wolfgang Fichtner Specification of timing constraints for controller synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Shankar R. Mukherjee, Maqsoodul Mannan Switch and logic-level modeling in EDIF 200: limitations and proposed solutions. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1A. Compan, Alain Greiner, François Pêcheux, Frédéric Pétrot GENVIEW: a portable source-level debugger for macrocell generators. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1A. J. van der Hoeven, Ed F. Deprettere, P. van Prooijen, Patrick M. Dewilde A hardware design system based on object-oriented principles. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Anas Kabbaj, Eduard Cerny, Michel R. Dagenais, François Bouthillier Design by similarity using transaction modeling and statistical techniques. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1M. Ambanelli, Michele Favalli, Piero Olivo, Bruno Riccò Detection of PLA multiple crosspoint faults. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Frank Buijs, Thomas Lengauer Synthesis of multi-level logic with one symbolic input. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Ting-Chi Wang, D. F. Wong 0001 Efficient shape curve construction in floorplan design. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Shen Lin 0001, Malgorzata Marek-Sadowska A fast and efficient algorithm for determining fanout trees in large networks. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1P. Duchene, Michel J. Declercq, S. M. Kang An integrated layout system for sea-of-gates module generation. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Suresh Rajgopal, Akhilesh Tyagi On probabilistic switch-level simulation for asynchronous circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Don E. Ross, Kenneth M. Butler, Rohit Kapur, M. Ray Mercer Fast functional evaluation of candidate OBDD variable orderings. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Roy Thomas, Sandip Kundu Synthesis of fully testable sequential machines. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Reinaldo A. Bergamaschi, Raul Camposano, Michael Payer Area and performance optimizations in path-based scheduling. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Gerard Tarroux, Bruno Rouzeyre, Georges Sagnes Optimization of micro-controllers by partitioning. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Daniel Cock, Andy Carpenter A proposed hardware fault simulation engine. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Benjamin Rogel-Favila, Antony Wakeling, Peter Y. K. Cheung Model-based fault diagnosis of sequential circuits and its acceleration. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Steven T. Healey An algorithm for improving optimal placement for river-routing. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Bernd Becker 0001, Ralf Hahn, Rolf Krieger, Uwe Sparmann Structure based methods for parallel pattern fault simulation in combinational circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Jan Rosseel, Michaël F. X. B. van Swaaij, Francky Catthoor, Hugo De Man Affine transformations for multi-dimensional signal processing on ASIC regular arrays. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1David Filo, Jerry Chih-Yuan Yang, Frédéric Mailhot 0001, Giovanni De Micheli Technology mapping for a two-output RAM-based field programmable gate array. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Mattie N. Sim, Patrick M. Dewilde Design of a persistent programming environment in an object oriented language using clustering and composite objects. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Fridtjof Feldbusch, Ramayya Kumar Verification of synthesized circuits at register transfer level with flow graphs. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Michael Kishinevsky, Alex Kondratyev, Alexander Taubin Formal method for self-timed design. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Ravi Nair, Vivek Chickermane, Ray Chamberlain Restructuring VLSI layout representations for efficiency. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Hongzhong Wu On L × n boolean matrices with all L × k submatrices having 2k distinct row vectors. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Rafael Peset Llopis, R. J. H. Koopman, Hans G. Kerkhoff, J. A. Braat A performance analysis tool for performance-driven micro-cell generation. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Fadi J. Kurdahi, Champaka Ramachandran LAST: a Layout Area and Shape function esTimator for high level applications. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1H. G. Yang, David M. Holburn A hierarchical approach to timing verification in CMOS VLSI design. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Dahe Chen, Carl Sechen Mickey: a macro cell global router. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Gary D. Hachtel, June-Kyung Rho, Fabio Somenzi, Reily M. Jacoby Exact and heuristic algorithms for the minimization of incompletely specified state machines. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Kees van Berkel 0001, Joep L. W. Kessels, Marly Roncken, Ronald Saeijs, Frits D. Schalij The VLSI-programming language tangram and its translation into handshake circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Mahesh Mehendale An approach to design flow management in CAD frameworks. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Paul Stravers Partitioning a network into n pieces with a time-efficient net cost function. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Bapiraju Vinnakota, Niraj K. Jha MACHETE: synthesis of sequential machines for easy testability. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Amjad Hajjar, Alain Greiner, Roland Marbot, Payam Kiani TAS: an accurate timing analyser for CMOS VLSI. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Kholdoun Torki, Michael Nicolaidis, Antônio Otávio Fernandes A self-checking PLA automatic generator tool based on unordered codes encoding. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Robert Tjärnström Clock independent timing verification of level-sensitive latches. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Antonio Rubio 0001, Noriyoshi Itazaki, Xiaole Xu, Kozo Kinoshita An approach to the analysis and test of crosstalk faults in digital VLSI circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Kai-Win Lee, Carl Sechen A global router for sea-of-gates circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Joel Besnard, Jacques Benkoski, Bernard Hennion Eldo-XL: a software accelerator for the analysis of digital MOS circuits by an analog simulator. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Paolo Camurati, Tiziana Margaria, Paolo Prinetto Resolution-based correctness proofs of synchronous circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Jacques Benkoski, Ronald B. Stewart TATOO: an industrial timing analyzer with false path elimination and test pattern generation. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Tzi-cker Chiueh HERESY: a hybrid approach to automatic schematic generation. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Shen Lin 0001, Malgorzata Marek-Sadowska, Ernest S. Kuh SWEC: a Step Wise Equivalent Conductance timing simulator for CMOS VLSI circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Lalgudi N. Kannan, D. Sarma Array folding using heuristics and simulated annealing. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Chin-Yuan Kuo, Liang-Gee Chen, Tai-Ming Parng An automatic synthesizer for CMOS operational amplifiers. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1A. Kuehlmann, Yiannos Manoli Module synthesis for finite state machines. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Giacomo Buonanno, Donatella Sciuto, Renato Stefanelli Optimization techniques for multiple output function synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
1Allen C.-H. Wu, Daniel D. Gajski Glue-logic partitioning for floorplans with a rectilinear datapath. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
Displaying result #501 - #600 of 722 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license