The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "FPL"( http://dblp.L3S.de/Venues/FPL )

URL (DBLP): http://dblp.uni-trier.de/db/conf/fpga

Publication years (Num. hits)
1992 (23) 1993-1994 (65) 1995 (47) 1996 (51) 1997 (52) 1998 (69) 1999 (66) 2000 (102) 2001 (75) 2002 (136) 2003 (147) 2004 (178) 2005 (149) 2006 (183) 2007 (162) 2008 (154) 2009 (142) 2010 (112) 2011 (101) 2012 (142) 2013 (139) 2014 (131) 2015 (99) 2016 (101) 2017 (111) 2018 (86) 2019 (72) 2020 (65) 2021 (83) 2022 (78) 2023 (65)
Publication types (Num. hits)
inproceedings(3155) proceedings(31)
Venues (Conferences, Journals, ...)
FPL(3186)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 210 occurrences of 148 keywords

Results
Found 3186 publication records. Showing 3186 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Umar Afzaal, Jeong-A Lee FPGA-based design of a self-checking TMR voter. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Pavel Benácek, Viktor Pus, Jan Korenek, Michal Kekely Line rate programmable packet processing in 100Gb networks. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mario Werner, Thomas Unterluggauer, Robert Schilling, David Schaffenrath, Stefan Mangard Transparent memory encryption and authentication. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yehya Nasser, Jean-Christophe Prévotet, M. Heiard, Jordane Lorandel Dynamic power estimation based on switching activity propagation. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Marco D. Santambrogio, Diana Göhringer, Dirk Stroobandt, Nele Mentens, Jari Nurmi (eds.) 27th International Conference on Field Programmable Logic and Applications, FPL 2017, Ghent, Belgium, September 4-8, 2017 Search on Bibsonomy FPL The full citation details ... 2017 DBLP  BibTeX  RDF
1Jin Qiu, Ping Kang, Li Ding, Yipeng Yuan, Wenbo Yin, Lingli Wang FPGA acceleration of the scoring process of X!TANDEM for protein identification. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hongyuan Ding, Miaoqing Huang PolyPC: Polymorphic parallel computing framework on embedded reconfigurable system. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Xiaofan Zhang 0001, Xinheng Liu, Anand Ramachandran 0001, Chuanhao Zhuge, Shibin Tang, Peng Ouyang, Zuofu Cheng, Kyle Rupnow, Deming Chen High-performance video content recognition with long-term recurrent convolutional network for FPGA. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Christoforos Kachris, Elias Koromilas, Ioannis Stamelos, Dimitrios Soudris FPGA acceleration of spark applications in a Pynq cluster. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Size Xiao, Neil Bergmann, Adam Postula Parallel RRT∗ architecture design for motion planning. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mirjana Stojilovic Parallel FPGA routing: Survey and challenges. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Christos Rousopoulos, Ektoras Karandeinos, Grigorios Chrysos 0001, Apostolos Dollas, Dionisios N. Pnevmatikatos A generic high throughput architecture for stream processing. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Gaël Deest, Tomofumi Yuki, Sanjay V. Rajopadhye, Steven Derrien One size does not fit all: Implementation trade-offs for iterative stencil computations on FPGAs. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Zhe Lin 0007, Wei Zhang 0012, Sharad Sinha Decision tree based hardware power monitoring for run time dynamic power management in FPGA. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mohammad Hosseinabady, José Luis Núñez-Yáñez A systematic approach to design and optimise streaming applications on FPGA using high-level synthesis. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ibrahim Ahmed 0001, Shuze Zhao, Olivier Trescases, Vaughn Betz Find the real speed limit: FPGA CAD for chip-specific application delay measurement. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Li Jiao, Cheng Luo, Wei Cao 0002, Xuegong Zhou, Lingli Wang Accelerating low bit-width convolutional neural networks with embedded FPGA. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yu Ting Chen, Jason Helge Anderson Automated generation of banked memory architectures in the high-level synthesis of multi-threaded software. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1John Clow, Georgios Tzimpragos, Deeksha Dangwal, Sammy Guo, Joseph McMahan, Timothy Sherwood A pythonic approach for rapid hardware prototyping and instrumentation. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Vladimir Rozic, Bohan Yang 0001, Jo Vliegen, Nele Mentens, Ingrid Verbauwhede The Monte Carlo PUF. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Naif Tarafdar, Thomas Lin, Nariman Eskandari, David Lion, Alberto Leon-Garcia, Paul Chow Heterogeneous virtualized network function framework for the data center. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yufei Ma 0002, Yu Cao 0001, Sarma B. K. Vrudhula, Jae-sun Seo An automatic RTL compiler for high-throughput FPGA implementation of diverse deep convolutional neural networks. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Julián Caba, Fernando Rincón, Julio Dondo Gazzano Functional & timing in-hardware verification of FPGA-based designs using unit testing frameworks. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Josh Weberruss, Lindsay Kleeman, David Boland, Tom Drummond FPGA acceleration of multilevel ORB feature extraction for computer vision. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1David Sidler, Muhsen Owaida, Zsolt István, Kaan Kara, Gustavo Alonso doppioDB: A hardware accelerated database. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Shengjia Shao, Wayne Luk Customised pearlmutter propagation: A hardware architecture for trust region policy optimisation. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Duncan J. M. Moss, Eriko Nurvitadhi, Jaewoong Sim, Asit K. Mishra, Debbie Marr, Suchit Subhaschandra, Philip Heng Wai Leong High performance binary neural networks on the Xeon+FPGA™ platform. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Anuj Vaishnav, Jose Raul Garcia Ordaz, Dirk Koch A security library for FPGA interlays. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1ChenYang Xia, YouZhe Fan, Ji Chen, Chi-Ying Tsui, ChongYang Zeng, Jie Jin, Bin Li 0013 An implementation of list successive cancellation decoder with large list size for polar codes. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jinnan Ding, Shuguo Li Broken-Karatsuba multiplication and its application to Montgomery modular multiplication. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Wei Yan 0005, Chenglu Jin, Fatemeh Tehranipoor, John A. Chandy Phase calibrated ring oscillator PUF design and implementation on FPGAs. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Stephan Nolting, Lin Liu, Guillermo Payá Vayá Two-LUT-based synthesizable temperature sensor for Virtex-6 FPGA devices. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Michal Kekely, Jan Korenek Mapping of P4 match action tables to FPGA. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat ARMHEx: A framework for efficient DIFT in real-world SoCs. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hiroki Nakahara, Tomoya Fujii, Shimpei Sato A fully connected layer elimination for a binarizec convolutional neural network on an FPGA. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mário P. Véstias, Rui Policarpo Duarte, José T. de Sousa, Horácio C. Neto Parallel dot-products for deep learning on FPGA. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Koya Mitsuzuka, Ami Hayashi, Michihiro Koibuchi, Hideharu Amano, Hiroki Matsutani In-switch approximate processing: Delayed tasks management for MapReduce applications. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Takuya Kojima, Naoki Ando, Hayate Okuhara, Ng. Anh Vu Doan, Hideharu Amano Body bias optimization for variable pipelined CGRA. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Adrien Prost-Boucle, Alban Bourge, Frédéric Pétrot, Hande Alemdar, Nicholas Caldwell, Vincent Leroy 0001 Scalable high-performance architecture for convolutional ternary neural networks on FPGA. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ho-Cheung Ng, Shuanglong Liu, Wayne Luk Reconfigurable acceleration of genetic sequence alignment: A survey of two decades of efforts. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yohann Uguen, Florent de Dinechin, Steven Derrien Bridging high-level synthesis and application-specific arithmetic: The case study of floating-point summations. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1David J. Greaves Kiwi scientific acceleration at large: Incremental compilation and multi-FPGA HLS demo. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Artur Podobas, Hamid Reza Zohouri, Naoya Maruyama, Satoshi Matsuoka Evaluating high-level design strategies on FPGAs for high-performance computing. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hiroyuki Nakahara, Haruyoshi Yonekawa, Tomoya Fujii, Masayuki Shimoda, Simpei Sato A demonstration of the GUINNESS: A GUI based neural NEtwork SyntheSizer for an FPGA. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Alexander Wild, Georg T. Becker, Tim Güneysu A fair and comprehensive large-scale analysis of oscillation-based PUFs for FPGAs. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Dennis R. E. Gnad, Fabian Oboril, Mehdi Baradaran Tahoori Voltage drop-based fault attacks on FPGAs using valid bitstreams. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Marco Rabozzi, Giuseppe Natale, Biagio Festa, Antonio Miele, Marco D. Santambrogio Optimizing streaming stencil time-step designs via FPGA floorplanning. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Aoi Tanibata, Alexandre Schmid, Shinya Takamaeda-Yamazaki, Masayuki Ikebe, Masato Motomura, Tetsuya Asai FPGA implementation of edge-guided pattern generation for motion-vector estimation of textureless objects. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Benjamin Drozdenko, Suranga Handagala, Kaushik R. Chowdhury, Miriam Leeser FPGA modeling techniques for detecting and demodulating multiple wireless protocols. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ivo Bolsens "All programmable FPGA, providing hardware efficiency to software programmers". Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Shuangnan Liu, Benjamin Carrión Schäfer Learning-based interconnect-aware dataflow accelerator optimization. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Festus Hategekimana, Taylor J. L. Whitaker, Md Jubaer Hossain Pantho, Christophe Bobda Shielding non-trusted IPs in SoCs. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Dimitrios Bozikas, Nikolaos Alachiotis 0001, Pavlos Pavlidis, Euripides Sotiriades, Apostolos Dollas Deploying FPGAs to future-proof genome-wide analyses based on linkage disequilibrium. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Robin Aggleton, Luis E. Ardila-Perez, Fionn Amhairghen Ball, Matthias Norbert Balzer, James John Brooke, Luigi Calligaris, Michele Caselle, Davide Cieri, Emyr John Clement, Geoffrey Hall, Kristian Harder, Peter R. Hobson, Gregory M. Iles, Thomas James, Konstantinos Manolopoulos, Takashi Matsushita, Alexander D. Morton, David Newbold, Sudarshan Paramesvaran, Mark Franco Pesaresi, Ivan D. Reid, Andrew W. Rose, Oliver Sander, Thomas Schuh, Claire Shepherd-Themistocleous, Antoni Shtipliyski, Sioni Paris Summers, Alexander D. Tapper, Ian Tomalin, Kirika Uchida, Paschalis Vichoudis, Marc Weber A novel FPGA-based track reconstruction approach for the level-1 trigger of the CMS experiment at CERN. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Stylianos I. Venieris, Christos-Savvas Bouganis Latency-driven design for FPGA-based convolutional neural networks. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Gengting Liu, Jim D. Garside, Steve B. Furber, Luis A. Plana, Dirk Koch Asynchronous interface FIFO design on FPGA for high-throughput NRZ synchronisation. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Eric Matthews, Lesley Shannon TAIGA: A new RISC-V soft-processor framework enabling high performance CPU architectural features. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1James J. Davis 0001, Joshua M. Levine, Edward A. Stott, Eddie Hung, Peter Y. K. Cheung, George A. Constantinides STRIPE: Signal selection for runtime power estimation. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Nachiket Kapre Deflection-routed butterfly fat trees on FPGAs. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yanzhe Li, Kai Huang 0002, Luc Claesen High-quality view interpolation based on depth maps and its hardware implementation. Search on Bibsonomy FPL The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Bruno da Silva 0001, Laurent Segers, An Braeken, Abdellah Touhafi Runtime reconfigurable beamforming architecture for real-time sound-source localization. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Daniel C. Dinis, Rui Fiel Cordeiro, Arnaldo S. R. Oliveira, José M. N. Vieira Towards an all-digital antenna array transmitter. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Weina Lu, Yu Hu 0001, Jing Ye 0001, Xiaowei Li 0001 TeSHoP: A Temperature Sensing based Hotspot-Driven Placement technique for FPGAs. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Christoforos Kachris, Dimitrios Soudris A survey on reconfigurable accelerators for cloud computing. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jungwook Choi, Rob A. Rutenbar Configurable and scalable belief propagation accelerator for computer vision. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Fraser D. Robinson, Louise H. Crockett, William H. Nailon, Robert W. Stewart High-level synthesis for medical image processing on Systems on Chip: A case study. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Paolo Ienne, Walid A. Najjar, Jason Helge Anderson, Philip Brisk, Walter Stechele Preface. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Oleg Petelin, Vaughn Betz The speed of diversity: Exploring complex FPGA routing topologies for the global metal layer. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jose Canilho, Mário P. Véstias, Horácio C. Neto Multi-core for K-means clustering on FPGA. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Luke Newmeyer, Doran Wilde, Brent E. Nelson, Michael J. Wirthlin Efficient processing of phased array radar in sense and avoid application using heterogeneous computing. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xinyu Niu, Nicholas Ng, Tomofumi Yuki, Shaojun Wang, Nobuko Yoshida, Wayne Luk EURECA compilation: Automatic optimisation of cycle-reconfigurable circuits. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Bajaj Ronak, Suhaib A. Fahmy Improved resource sharing for FPGA DSP blocks. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Dries Vercruyce, Elias Vansteenkiste, Dirk Stroobandt Runtime-quality tradeoff in partitioning based multithreaded packing. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Heiner Giefers, Peter W. J. Staar, Raphael Polig Energy-efficient stochastic matrix function estimator for graph analytics on FPGA. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ana Petkovska, Mathias Soeken, Giovanni De Micheli, Paolo Ienne, Alan Mishchenko Fast hierarchical NPN classification. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Behnam Khaleghi, Behzad Omidi, Hussam Amrouch, Jörg Henkel, Hossein Asadi 0001 Stress-aware routing to mitigate aging effects in SRAM-based FPGAs. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ammon Gruwell, Peter Zabriskie, Michael J. Wirthlin High-speed programmable FPGA Configuration through JTAG. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jacob Couch, John Arkoian An investigation into a circuit based supply chain analyzer for FPGAs. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yufei Ma 0002, Naveen Suda, Yu Cao 0001, Jae-sun Seo, Sarma B. K. Vrudhula Scalable and modularized RTL compilation of Convolutional Neural Networks onto FPGA. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Raphael Polig, Kubilay Atasu, Christoph Hagleitner, Theresa Xu, Akihiro Nakayama Annotation-based finite-state transducers on reconfigurable devices. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Daisuke Suzuki, Takahiro Hanyu A low-power MTJ-based nonvolatile FPGA using self-terminated logic-in-memory structure. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hadi Mardani Kamali, Shaahin Hessabi AdapNoC: A fast and flexible FPGA-based NoC simulator. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xiaoyin Ma, Jose M. Rodriguez Borbon, Walid A. Najjar, Amit K. Roy-Chowdhury Optimizing hardware design for Human Action Recognition. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mohammad Naouss, François Marc Modelling delay degradation due to NBTI in FPGA Look-up tables. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Oto Petura, Ugo Mureddu, Nathalie Bochard, Viktor Fischer, Lilian Bossuet A survey of AIS-20/31 compliant TRNG cores suitable for FPGA devices. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Onur Ulusel, Christopher B. Picardo, Christopher B. Harris, Sherief Reda, R. Iris Bahar Hardware acceleration of feature detection and description algorithms on low-power embedded platforms. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Bruno da Silva 0001, Laurent Segers, An Braeken, Abdellah Touhafi A runtime reconfigurable FPGA-based microphone array for sound source localization. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Aaron Stoddard, Ammon Gruwell, Peter Zabriskie, Michael J. Wirthlin High-speed PCAP configuration scrubbing on Zynq-7000 All Programmable SoCs. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Charles Lo, Paul Chow Model-based optimization of High Level Synthesis directives. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yongming Shen 0001, Michael Ferdman, Peter A. Milder Overcoming resource underutilization in spatial CNN accelerators. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Nina Engelhardt, Hayden Kwok-Hay So GraVF: A vertex-centric distributed graph processing framework on FPGAs. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Chin Hau Hoo, Yajun Ha, Akash Kumar 0001 ParaFRo: A hybrid parallel FPGA router using fine grained synchronization and partitioning. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Malik Umar Sharif, Rabia Shahid, Kris Gaj, Marcin Rogawski Hardware-software codesign of RSA for optimal performance vs. flexibility trade-off. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xitian Fan, Huimin Li 0005, Wei Cao 0002, Lingli Wang DT-CGRA: Dual-track coarse-grained reconfigurable architecture for stream applications. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xijie Jia, Kaiyuan Guo, Wenqiang Wang, Yu Wang 0002, Huazhong Yang SRI-SURF: A better SURF powered by scaled-RAM interpolator on FPGA. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mikhail Asiatici, Nithin George, Kizheppatt Vipin, Suhaib A. Fahmy, Paolo Ienne Designing a virtual runtime for FPGA accelerators in the cloud. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Huimin Li 0005, Xitian Fan, Li Jiao, Wei Cao 0002, Xuegong Zhou, Lingli Wang A high performance FPGA-based accelerator for large-scale convolutional neural networks. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Christophe Huriaux, Olivier Sentieys, Russell Tessier Effects of I/O routing through column interfaces in embedded FPGA fabrics. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Elias Vansteenkiste, Seppe Lenders, Dirk Stroobandt Liquid: Fast placement prototyping through steepest gradient descent movement. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zdenek Vasícek, Lukás Sekanina Search-based synthesis of approximate circuits implemented into FPGAs. Search on Bibsonomy FPL The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 3186 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license