Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
15 | Tieqiang Mo, Renfa Li |
Accelerating Stencil Computation on GPGPU by Novel Mapping Method Between the Global Memory and the Shared Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Informatics ![In: Comput. Informatics 37(3), pp. 533-552, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Raffaele Montella, Livia Marcellino, Ardelio Galletti, Diana Di Luccio, Sokol Kosta, Giuliano Laccetti, Giulio Giunta |
Marine bathymetry processing through GPGPU virtualization in high performance cloud computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Concurr. Comput. Pract. Exp. ![In: Concurr. Comput. Pract. Exp. 30(24), 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Adrián Castelló 0001, Antonio J. Peña, Rafael Mayo 0002, Judit Planas, Enrique S. Quintana-Ortí, Pavan Balaji |
Exploring the interoperability of remote GPGPU virtualization using rCUDA and directive-based programming models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 74(11), pp. 5628-5642, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Semra Aydin, Refik Samet, Ömer Faruk Bay |
Real-time parallel image processing applications on multicore CPUs with OpenMP and GPGPU with CUDA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 74(6), pp. 2255-2275, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Young-Hwan Choi, Min Hong, Yoo-Joo Choi |
Parallel cloth simulation with GPGPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multim. Tools Appl. ![In: Multim. Tools Appl. 77(22), pp. 30105-30120, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Yusuke Suzuki, Hiroshi Yamada, Shinpei Kato, Kenji Kono |
Cooperative GPGPU Scheduling for Consolidating Server Workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Inf. Syst. ![In: IEICE Trans. Inf. Syst. 101-D(12), pp. 3019-3037, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Alberto Garcia-Garcia, Sergio Orts-Escolano, José García Rodríguez 0001, Miguel Cazorla |
Interactive 3D object recognition pipeline on mobile GPGPU computing platforms using low-cost RGB-D sensors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Real Time Image Process. ![In: J. Real Time Image Process. 14(3), pp. 585-604, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Zhibin Yu 0001, Jing Wang 0055, Lieven Eeckhout, Chengzhong Xu 0001 |
QIG: Quantifying the Importance and Interaction of GPGPU Architecture Parameters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(6), pp. 1211-1224, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Dang Lin, Liangyu Chen 0001 |
An efficient algorithm for global interval solution of nonlinear algebraic equations and its GPGPU implementation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1802.00330, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
15 | Ammar Qammaz, Sokol Kosta, Nikolaos Kyriazis, Antonis A. Argyros |
On the Feasibility of Real-Time 3D Hand Tracking using Edge GPGPU Acceleration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1804.11256, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
15 | Christos Bellas, Anastasios Gounaris |
Speeding-up the Verification Phase of Set Similarity Joins in the GPGPU paradigm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1812.09141, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
15 | Rachata Ausavarungnirun, Saugata Ghose, Onur Kayiran, Gabriel H. Loh, Chita R. Das, Mahmut T. Kandemir, Onur Mutlu |
Holistic Management of the GPGPU Memory Hierarchy to Manage Warp-level Latency Tolerance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1804.11038, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
15 | Michel Müller, Takayuki Aoki |
New High Performance GPGPU Code Transformation Framework Applied to Large Production Weather Prediction Code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1802.05839, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
15 | Xiong Wang 0001, Qian Zhang, Jing Ren 0002, Shizhong Xu, Sheng Wang 0006, Shui Yu 0001 |
Toward efficient parallel routing optimization for large-scale SDN networks using GPGPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Netw. Comput. Appl. ![In: J. Netw. Comput. Appl. 113, pp. 1-13, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Suma Shivaraju, Gopalan Pudur |
Splay thread cooperation on ray tracing as a load balancing technique in speculative parallelism and GPGPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. Arab J. Inf. Technol. ![In: Int. Arab J. Inf. Technol. 15(1), pp. 167-176, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
15 | Michel Müller, Takayuki Aoki |
New High Performance GPGPU Code Transformation Framework Applied to Large Production Weather Prediction Code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Parallel Comput. ![In: ACM Trans. Parallel Comput. 5(2), pp. 7:1-7:42, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Tomas Carlotto, Roberto Valmir da Silva, José Mario Vicensi Grzybowski |
A GPGPU-accelerated implementation of groundwater flow model in unconfined aquifers for heterogeneous and anisotropic media. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Environ. Model. Softw. ![In: Environ. Model. Softw. 101, pp. 64-72, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Nguyen Mau Toan, Yasushi Inoguchi |
Audio fingerprint hierarchy searching strategies on GPGPU massively parallel computer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Inf. Telecommun. ![In: J. Inf. Telecommun. 2(3), pp. 265-290, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Winnie Thomas, Rohin D. Daruwala |
Application aware Scalable Architecture for GPGPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Syst. Archit. ![In: J. Syst. Archit. 89, pp. 73-83, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Venkata Yaswanth Raparti, Sudeep Pasricha |
DAPPER: Data Aware Approximate NoC for GPGPU Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NOCS ![In: Twelfth IEEE/ACM International Symposium on Networks-on-Chip, NOCS 2018, Torino, Italy, October 4-5, 2018, pp. 7:1-7:8, 2018, IEEE, 978-1-5386-4893-3. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Lucas Arakaki Takemoto, Bianca de Almeida Dantas, Henrique Mongelli |
A Parallel Approach of Simulated Annealing Using GPGPU to Solve the Quadratic Assignment Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSCAD ![In: Symposium on High Performance Computing Systems, WSCAD 2018, São Paulo, Brazil, October 1-3, 2018, pp. 23-29, 2018, IEEE, 978-1-7281-3772-8. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Olmo Zavala-Romero, Anke Meyer-Baese, Marc B. I. Lobbes |
Breast lesion segmentation software for DCE-MRI: An open source GPGPU based optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISBI ![In: 15th IEEE International Symposium on Biomedical Imaging, ISBI 2018, Washington, DC, USA, April 4-7, 2018, pp. 211-215, 2018, IEEE, 978-1-5386-3636-7. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Adrian Horga, Sudipta Chattopadhyay 0001, Petru Eles, Zebo Peng |
Measurement Based Execution Time Analysis of GPGPU Programs via SE+GA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 21st Euromicro Conference on Digital System Design, DSD 2018, Prague, Czech Republic, August 29-31, 2018, pp. 30-37, 2018, IEEE Computer Society, 978-1-5386-7377-5. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Bin Nie, Lishan Yang, Adwait Jog, Evgenia Smirni |
Fault Site Pruning for Practical Reliability Analysis of GPGPU Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 51st Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2018, Fukuoka, Japan, October 20-24, 2018, pp. 749-761, 2018, IEEE Computer Society, 978-1-5386-6240-3. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | João Guerreiro 0004, Aleksandar Ilic, Nuno Roma, Pedro Tomás |
GPGPU Power Modeling for Multi-domain Voltage-Frequency Scaling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: IEEE International Symposium on High Performance Computer Architecture, HPCA 2018, Vienna, Austria, February 24-28, 2018, pp. 789-800, 2018, IEEE Computer Society, 978-1-5386-3659-6. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Mohsen Imani, Max Masich, Daniel Peroni, Pushen Wang, Tajana Rosing |
CANNA: Neural network acceleration using configurable approximation on GPGPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: 23rd Asia and South Pacific Design Automation Conference, ASP-DAC 2018, Jeju, Korea (South), January 22-25, 2018, pp. 682-689, 2018, IEEE, 978-1-5090-0602-1. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Jacob D. Hauenstein, Timothy S. Newman |
Fast and accurate volume data curvature determination using GPGPU computation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Southeast Regional Conference ![In: Proceedings of the ACMSE 2018 Conference, Richmond, KY, USA, March 29-31, 2018, pp. 19:1-19:8, 2018, ACM, 978-1-4503-5696-1. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Sho Yuhara, Yusuke Suzuki, Kenji Kono |
An Application Framework for Migrating GPGPU Cloud Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CloudCom ![In: 2018 IEEE International Conference on Cloud Computing Technology and Science, CloudCom 2018, Nicosia, Cyprus, December 10-13, 2018, pp. 62-66, 2018, IEEE Computer Society, 978-1-5386-7899-2. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Matthew A. Watkins, Philip Bedoukian |
Characterizing a Commercial Multidimensional Heterogeneous Processor Under GPGPU Workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2018, Belfast, United Kingdom, April 2-4, 2018, pp. 229-239, 2018, IEEE Computer Society, 978-1-5386-5010-3. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Seungyo Ryu, Dongseung Kim |
Parallel Huge Matrix Multiplication on a Cluster with GPGPU Accelerators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS Workshops ![In: 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, IPDPS Workshops 2018, Vancouver, BC, Canada, May 21-25, 2018, pp. 877-882, 2018, IEEE Computer Society, 978-1-5386-5555-9. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | James H. Money, Marko Sterbentz, Nathan Morrical, Thomas Szewczyk, Landon Woolley |
GPGPU Enabled Ray Directed Adaptive Volume Visualization for High Density Scans. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PEARC ![In: Proceedings of the Practice and Experience on Advanced Research Computing, PEARC 2018, Pittsburgh, PA, USA, July 22-26, 2018, pp. 62:1-62:7, 2018, ACM. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Ammar Qammaz, Sokol Kosta, Nikolaos Kyriazis, Antonis A. Argyros |
Distributed Real-Time Generative 3D Hand Tracking using Edge GPGPU Acceleration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MobiSys ![In: Proceedings of the 16th Annual International Conference on Mobile Systems, Applications, and Services, MobiSys 2018, Munich, Germany, June 10-15, 2018, pp. 540, 2018, ACM. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Yuri Nishikawa, Hitoshi Sato, Jun Ozawa |
OpenMP and GPGPU Implementations of Probabilistic Occupancy Map for Multiple Human Position Estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMC ![In: IEEE International Conference on Systems, Man, and Cybernetics, SMC 2018, Miyazaki, Japan, October 7-10, 2018, pp. 3188-3193, 2018, IEEE, 978-1-5386-6650-0. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Qiang Wang 0022, Xiaowen Chu 0001 |
GPGPU Performance Estimation with Core and Memory Frequency Scaling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: 24th IEEE International Conference on Parallel and Distributed Systems, ICPADS 2018, Singapore, December 11-13, 2018, pp. 417-424, 2018, IEEE, 978-1-5386-7308-9. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Chao-Lin Lee, Min-Yih Hsu, Bing-Sung Lu, Jenq-Kuen Lee |
Enable the Flow for GPGPU-Sim Simulators with Fixed-Point Instructions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP Workshops ![In: The 47th International Conference on Parallel Processing, ICPP 2018, Workshop Proceedings, Eugene, OR, USA, August 13-16, 2018, pp. 12:1-12:5, 2018, ACM. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Santonu Sarkar, Prateek Kandelwal, Soumyadip Bandyopadhyay, Holger Giese |
Analysis of GPGPU Programs for Data-race and Barrier Divergence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSOFT ![In: Proceedings of the 13th International Conference on Software Technologies, ICSOFT 2018, Porto, Portugal, July 26-28, 2018., pp. 494-505, 2018, SciTePress, 978-989-758-320-9. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Boyang Du, Josie E. Rodriguez Condia, Matteo Sonza Reorda, Luca Sterpone |
About the functional test of the GPGPU scheduler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 24th IEEE International Symposium on On-Line Testing And Robust System Design, IOLTS 2018, Platja D'Aro, Spain, July 2-4, 2018, pp. 85-90, 2018, IEEE, 978-1-5386-5992-2. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Nadjib Mammeri, Ben H. H. Juurlink |
VComputeBench: A Vulkan Benchmark Suite for GPGPU on Mobile and Embedded GPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IISWC ![In: 2018 IEEE International Symposium on Workload Characterization, IISWC 2018, Raleigh, NC, USA, September 30 - October 2, 2018, pp. 25-35, 2018, IEEE Computer Society, 978-1-5386-6780-4. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Lucas Saad N. Nunes, Jacir Luiz Bordim, Yasuaki Ito, Koji Nakano |
A Prefix-Sum-Based Rabin-Karp Implementation for Multiple Pattern Matching on GPGPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CANDAR ![In: Sixth International Symposium on Computing and Networking, CANDAR 2018, Takayama, Japan, November 23-27, 2018, pp. 139-145, 2018, IEEE Computer Society, 978-1-5386-9182-3. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Magali Fröhlich, Christophe Bolinhas, Adrien Depeursinge, Antoine Widmer, Nicolas Chevrey, Patric Hagmann, Christian Simon, Vivianne B. C. Kokje, Stéphane Gobron |
Holographic Visualisation and Interaction of Fused CT, PET and MRI Volumetric Medical Imaging Data Using Dedicated Remote GPGPU Ray Casting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
POCUS/BIVPCS/CuRIOUS/CPM@MICCAI ![In: Simulation, Image Processing, and Ultrasound Systems for Assisted Diagnosis and Navigation - International Workshops, POCUS 2018, BIVPCS 2018, CuRIOUS 2018, and CPM 2018, Held in Conjunction with MICCAI 2018, Granada, Spain, September 16-20, 2018, Proceedings, pp. 102-110, 2018, Springer, 978-3-030-01044-7. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Nataliia V. Kulabukhova |
GPGPU for Problem-Solving Environment in Accelerator Physics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (4) ![In: Computational Science and Its Applications - ICCSA 2018 - 18th International Conference, Melbourne, VIC, Australia, July 2-5, 2018, Proceedings, Part IV, pp. 51-60, 2018, Springer, 978-3-319-95170-6. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Parijat Shukla, Arun K. Somani |
Fast Document Similarity Computations using GPGPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KDIR ![In: Proceedings of the 10th International Joint Conference on Knowledge Discovery, Knowledge Engineering and Knowledge Management, IC3K 2018, Volume 1: KDIR, Seville, Spain, September 18-20, 2018., pp. 321-329, 2018, SciTePress, 978-989-758-330-8. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Jintaek Kang, Kwanghyun Chung, Youngmin Yi, Soonhoi Ha |
NNsim: fast performance estimation based on sampled simulation of GPGPU kernels for neural networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 55th Annual Design Automation Conference, DAC 2018, San Francisco, CA, USA, June 24-29, 2018, pp. 176:1-176:6, 2018, ACM, 978-1-5386-4114-9. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
15 | Xuan Shi, Miaoqing Huang |
GPGPU in GIS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Encyclopedia of GIS ![In: Encyclopedia of GIS., pp. 797-805, 2017, Springer, 978-3-319-17884-4. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Pablo Piedrahita-Quintero, Carlos Trujillo, Jorge Garcia-Sucerquia |
JDiffraction: A GPGPU-accelerated JAVA library for numerical propagation of scalar wave fields. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Phys. Commun. ![In: Comput. Phys. Commun. 214, pp. 128-139, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Alcides Fonseca, Bruno Cabral |
Prototyping a GPGPU Neural Network for Deep-Learning Big Data Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Big Data Res. ![In: Big Data Res. 8, pp. 50-56, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Li-Jhan Chen, Hsiang-Yun Cheng, Po-Han Wang 0001, Chia-Lin Yang |
Improving GPGPU Performance via Cache Locality Aware Thread Block Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Comput. Archit. Lett. ![In: IEEE Comput. Archit. Lett. 16(2), pp. 127-131, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Raffaele Montella, Sokol Kosta, David Oro, Javier Vera, Carles Fernández, Carlo Palmieri, Diana Di Luccio, Giulio Giunta, Marco Lapegna, Giuliano Laccetti |
Accelerating Linux and Android applications on low-power devices through remote GPGPU offloading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Concurr. Comput. Pract. Exp. ![In: Concurr. Comput. Pract. Exp. 29(24), 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Jianfei Wang, Fengfeng Fan, Li Jiang 0002, Xiaoyao Liang, Naifeng Jing |
Incorporating selective victim cache into GPGPU for high-performance computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Concurr. Comput. Pract. Exp. ![In: Concurr. Comput. Pract. Exp. 29(24), 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Gregory Gutmann, Daisuke Inoue, Akira Kakugo, Akihiko Konagaya |
Parallel Interaction Detection Algorithms for a Particle-based Live Controlled Real-time Microtubule Gliding Simulation System Accelerated by GPGPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
New Gener. Comput. ![In: New Gener. Comput. 35(2), pp. 157-180, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Naifeng Jing, Shunning Jiang, Shuang Chen 0002, Jingjie Zhang, Li Jiang 0002, Chao Li 0009, Xiaoyao Liang |
Bank Stealing for a Compact and Efficient Register File Architecture in GPGPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 25(2), pp. 520-533, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Qiang Wang 0022, Xiaowen Chu 0001 |
GPGPU Power Estimation with Core and Memory Frequency Scaling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS Perform. Evaluation Rev. ![In: SIGMETRICS Perform. Evaluation Rev. 45(2), pp. 73-78, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Davide Spataro, Donato D'Ambrosio, Giuseppe Filippone, Rocco Rongo, William Spataro, Davide Marocco |
The new SCIARA-fv3 numerical model and acceleration by GPGPU strategies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. High Perform. Comput. Appl. ![In: Int. J. High Perform. Comput. Appl. 31(2), pp. 163-176, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Shuai Che, Bradford M. Beckmann, Steven K. Reinhardt |
Programming GPGPU Graph Applications with Linear Algebra Building Blocks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 45(3), pp. 657-679, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Mathias Bourgoin, Emmanuel Chailloux, Jean Luc Lamotte |
High Level Data Structures for GPGPU Programming in a Statically Typed Language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 45(2), pp. 242-261, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Mengjie Mao, Wujie Wen, Yaojun Zhang, Yiran Chen 0001, Hai Li 0001 |
An Energy-Efficient GPGPU Register File Architecture Using Racetrack Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 66(9), pp. 1478-1490, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Alexey Kolesnichenko, Christopher M. Poskitt, Sebastian Nanz |
SafeGPU: Contract- and library-based GPGPU for object-oriented languages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Lang. Syst. Struct. ![In: Comput. Lang. Syst. Struct. 48, pp. 68-88, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Mahmoud Khairy, Mohamed Zahran 0001, Amr G. Wassal |
SACAT: Streaming-Aware Conflict-Avoiding Thrashing-Resistant GPGPU Cache Management Scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 28(6), pp. 1740-1753, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Libo Huang, Ya-Shuai Lü, Li Shen 0007, Zhiying Wang 0003 |
Improving the Efficiency of GPGPU Work-Queue Through Data Awareness. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 14(4), pp. 45:1-45:22, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Shamik Saha, Prabal Basu, Chidhambaranathan Rajamanikkam, Aatreyi Bal, Koushik Chakraborty, Sanghamitra Roy |
SSAGA: SMs Synthesized for Asymmetric GPGPU Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 22(3), pp. 49:1-49:20, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Liangyu Chen 0001, Yaochen Xu, Zhenbing Zeng |
Searching approximate global optimal Heilbronn configurations of nine points in the unit square via GPGPU computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Glob. Optim. ![In: J. Glob. Optim. 68(1), pp. 147-167, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Ronggang Wang, Jiajia Luo, Xiubao Jiang, Zhenyu Wang 0002, Wenmin Wang, Ge Li 0002, Wen Gao 0001 |
Accelerating Image-Domain-Warping Virtual View Synthesis on GPGPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Multim. ![In: IEEE Trans. Multim. 19(6), pp. 1392-1400, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Qiang Wang 0022, Xiaowen Chu 0001 |
GPGPU Performance Estimation with Core and Memory Frequency Scaling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1701.05308, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP BibTeX RDF |
|
15 | Ramkumar B, Ravi S. Hegde, Rob Laber, Hristo Bojinov |
GPGPU Acceleration of the KAZE Image Feature Extraction Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1706.06750, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP BibTeX RDF |
|
15 | Chunlei Chen, Li He, Huixiang Zhang, Hao Zheng, Lei Wang |
On the Accuracy and Parallelism of GPGPU-Powered Incremental Clustering Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Intell. Neurosci. ![In: Comput. Intell. Neurosci. 2017, pp. 2519782:1-2519782:12, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Luis Medina-Valdés, Miguel Diez-Ochoa, Raúl Correal, Sergio Cuenca-Asensi, Alejandro Serrano-Cases, Jorge Godoy, Antonio Martínez-Álvarez, Jorge Villagra |
A Comparison of FPGA and GPGPU Designs for Bayesian Occupancy Filters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sensors ![In: Sensors 17(11), pp. 2599, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Feng Han 0008, Li Li 0003, Kun Wang 0005, Fan Feng, Hongbing Pan, Jin Sha, Jun Lin 0001 |
An access pattern based adaptive mapping function for GPGPU scratchpad memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Electron. Express ![In: IEICE Electron. Express 14(12), pp. 20170373, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Zhijun Lei, Srinath Reddy, Victor N. Cherepanov, Zhipin Deng |
GPGPU implementation of VP9 in-loop deblocking filter and improvements for AV1 codec. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP ![In: 2017 IEEE International Conference on Image Processing, ICIP 2017, Beijing, China, September 17-20, 2017, pp. 925-929, 2017, IEEE, 978-1-5090-2175-8. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Manjusha Nair, Krishnapriya Ushakumari, Athira Ramakrishnan, Bipin Nair |
Comparing parallel simulation of single and multi-compartmental spiking neuron models using gpgpu. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICACCI ![In: 2017 International Conference on Advances in Computing, Communications and Informatics, ICACCI 2017, Udupi (Near Mangalore), India, September 13-16, 2017, pp. 533-539, 2017, IEEE, 978-1-5090-6367-3. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Yuxi Liu, Xia Zhao 0004, Zhibin Yu 0001, Zhenlin Wang, Xiaolin Wang 0001, Yingwei Luo, Lieven Eeckhout |
POSTER: BACM: Barrier-Aware Cache Management for Irregular Memory-Intensive GPGPU Workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 26th International Conference on Parallel Architectures and Compilation Techniques, PACT 2017, Portland, OR, USA, September 9-13, 2017, pp. 140-141, 2017, IEEE Computer Society, 978-1-5090-6764-0. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Huimin Luo, Jiabin Yuan, Wenjing Dai |
A New Universal Quantum Gates and Its Simulation on GPGPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCCS (1) ![In: Cloud Computing and Security - Third International Conference, ICCCS 2017, Nanjing, China, June 16-18, 2017, Revised Selected Papers, Part I, pp. 16-27, 2017, Springer, 978-3-319-68504-5. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Aman Yadav, Sohum Sohoni, Damon M. Chandler |
GPGPU based implementation of a high performing No Reference (NR) - IQA algorithm, BLIINDS-II. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IQSP ![In: Image Quality and System Performance XIV, Electronic Imaging 2017, IQSP, Burlingame, CA, USA, 29 January - 2 February 2017., pp. 21-25, 2017, Society for Imaging Science and Technology. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Muhammed Al Kadi, Benedikt Janßen, Michael Hübner 0001 |
Floating-Point Arithmetic Using GPGPU on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2017 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2017, Bochum, Germany, July 3-5, 2017, pp. 134-139, 2017, IEEE Computer Society, 978-1-5090-6762-6. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Kauzki Hirosue, Shohei Ukawa, Yuichi Itoh, Takao Onoye, Masanori Hashimoto |
GPGPU-based Highly Parallelized 3D Node Localization for Real-Time 3D Model Reproduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IUI ![In: Proceedings of the 22nd International Conference on Intelligent User Interfaces, IUI 2017, Limassol, Cyprus, March 13-16, 2017, pp. 173-178, 2017, ACM, 978-1-4503-4348-0. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Matina Maria Trompouki, Leonidas Kosmidis |
Optimisation opportunities and evaluation for GPGPU applications on low-end mobile GPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2017, Lausanne, Switzerland, March 27-31, 2017, pp. 950-953, 2017, IEEE, 978-3-9815370-8-6. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Mohsen Imani, Daniel Peroni, Yeseong Kim, Abbas Rahimi, Tajana Rosing |
Efficient neural network acceleration on GPGPU using content addressable memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2017, Lausanne, Switzerland, March 27-31, 2017, pp. 1026-1031, 2017, IEEE, 978-3-9815370-8-6. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Pedro Duarte, Pedro Tomás, Gabriel Falcão 0001 |
SCRATCH: an end-to-end application-aware soft-GPGPU architecture and trimming tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2017, Cambridge, MA, USA, October 14-18, 2017, pp. 165-177, 2017, ACM, 978-1-4503-4952-9. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Abhinandan Majumdar, Leonardo Piga, Indrani Paul, Joseph L. Greathouse, Wei Huang 0004, David H. Albonesi |
Dynamic GPGPU Power Management Using Adaptive Model Predictive Control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 2017 IEEE International Symposium on High Performance Computer Architecture, HPCA 2017, Austin, TX, USA, February 4-8, 2017, pp. 613-624, 2017, IEEE Computer Society, 978-1-5090-4985-1. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Amir Charif, Alexandre Coelho, Nacer-Eddine Zergainoh, Michael Nicolaidis |
Detailed and highly parallelizable cycle-accurate network-on-chip simulation on GPGPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: 22nd Asia and South Pacific Design Automation Conference, ASP-DAC 2017, Chiba, Japan, January 16-19, 2017, pp. 672-677, 2017, IEEE, 978-1-5090-1558-0. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Matthew A. Watkins, Philip Bedoukian |
Characterization of GPGPU workloads on a multidimensional heterogeneous processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: 2017 IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2017, Santa Rosa, CA, USA, April 24-25, 2017, pp. 121-122, 2017, IEEE Computer Society, 978-1-5386-3890-3. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Eric J. Balster, Marc P. Hoffman, Jon P. Skeans, David Fan |
GPGPU Acceleration using OpenCL for a Spotlight SAR Simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWOCL ![In: Proceedings of the 5th International Workshop on OpenCL, IWOCL 2017, Toronto, Canada, May 16-18, 2017, pp. 1:1-1:5, 2017, ACM, 978-1-4503-5214-7. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Ashutosh Dhar, Deming Chen |
Efficient GPGPU Computing with Cross-Core Resource Sharing and Core Reconfiguration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FCCM ![In: 25th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, FCCM 2017, Napa, CA, USA, April 30 - May 2, 2017, pp. 48-55, 2017, IEEE Computer Society, 978-1-5386-4037-1. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Danial Aghajarian, Sushil K. Prasad |
A Spatial Join Algorithm Based on a Non-uniform Grid Technique over GPGPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGSPATIAL/GIS ![In: Proceedings of the 25th ACM SIGSPATIAL International Conference on Advances in Geographic Information Systems, GIS 2017, Redondo Beach, CA, USA, November 7-10, 2017, pp. 56:1-56:4, 2017, ACM, 978-1-4503-5490-5. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | José Ignacio Hidalgo, Carlos Cervigón, José Manuel Velasco, J. Manuel Colmenar, Carlos García Sánchez 0001, Guillermo Botella |
Embedded Grammars for Grammatical Evolution on GPGPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EvoApplications (1) ![In: Applications of Evolutionary Computation - 20th European Conference, EvoApplications 2017, Amsterdam, The Netherlands, April 19-21, 2017, Proceedings, Part I, pp. 789-805, 2017, 978-3-319-55848-6. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Kyung Hoon Kim, Rahul Boyapati, Jiayi Huang 0001, Yuho Jin, Ki Hwan Yum, Eun Jung Kim 0001 |
Packet coalescing exploiting data redundancy in GPGPU architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the International Conference on Supercomputing, ICS 2017, Chicago, IL, USA, June 14-16, 2017, pp. 6:1-6:10, 2017, ACM, 978-1-4503-5020-4. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Quan Deng, Youtao Zhang, Minxuan Zhang, Jun Yang 0002 |
Towards warp-scheduler friendly STT-RAM/SRAM hybrid GPGPU register file design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2017 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2017, Irvine, CA, USA, November 13-16, 2017, pp. 736-742, 2017, IEEE, 978-1-5386-3093-8. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Yunfeng Qi, Gang Wu 0001, Su Hu, Yuan Gao 0003 |
Parallel-implemented message passing algorithm for SCMA decoder based on GPGPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WCSP ![In: 9th International Conference on Wireless Communications and Signal Processing, WCSP 2017, Nanjing, China, October 11-13, 2017, pp. 1-6, 2017, IEEE, 978-1-5386-2062-5. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Jinhan Kim, Junhwi Kim, Shin Yoo |
GPGPGPU: Evaluation of Parallelisation of Genetic Programming Using GPGPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SSBSE ![In: Search Based Software Engineering - 9th International Symposium, SSBSE 2017, Paderborn, Germany, September 9-11, 2017, Proceedings, pp. 137-142, 2017, Springer, 978-3-319-66298-5. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Yuxi Liu, Xia Zhao 0004, Zhibin Yu 0001, Zhenlin Wang, Xiaolin Wang 0001, Yingwei Luo, Lieven Eeckhout |
BACM: Barrier-Aware Cache Management for Irregular Memory-Intensive GPGPU Workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 2017 IEEE International Conference on Computer Design, ICCD 2017, Boston, MA, USA, November 5-8, 2017, pp. 633-640, 2017, IEEE Computer Society, 978-1-5386-2254-4. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Livia Marcellino, Raffaele Montella, Sokol Kosta, Ardelio Galletti, Diana Di Luccio, Vincenzo Santopietro, Mario Ruggieri, Marco Lapegna, Luisa D'Amore, Giuliano Laccetti |
Using GPGPU Accelerated Interpolation Algorithms for Marine Bathymetry Processing with On-Premises and Cloud Based Computational Resources. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPAM (2) ![In: Parallel Processing and Applied Mathematics - 12th International Conference, PPAM 2017, Lublin, Poland, September 10-13, 2017, Revised Selected Papers, Part II, pp. 14-24, 2017, Springer, 978-3-319-78053-5. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Tatsuya Toki, Tomonobu Ozaki |
Discovery of δ-Tolerance Closed Subgraphs on GPGPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CANDAR ![In: Fifth International Symposium on Computing and Networking, CANDAR 2017, Aomori, Japan, November 19-22, 2017, pp. 173-179, 2017, IEEE Computer Society, 978-1-5386-2087-8. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Bikash Poudel, Naresh Kumar Giri, Arslan Munir |
Design and comparative evaluation of GPGPU- and FPGA-based MPSoC ECU architectures for secure, dependable, and real-time automotive CPS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 28th IEEE International Conference on Application-specific Systems, Architectures and Processors, ASAP 2017, Seattle, WA, USA, July 10-12, 2017, pp. 29-36, 2017, IEEE Computer Society, 978-1-5090-4825-0. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Sunhee Hwang, Youngjung Uh, Minsong Ki, Kwangyong Lim, Daeyong Park, Hyeran Byun |
Real-time background subtraction based on GPGPU for high-resolution video surveillance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IMCOM ![In: Proceedings of the 11th International Conference on Ubiquitous Information Management and Communication, IMCOM 2017, Beppu, Japan, January 5-7, 2017, pp. 109, 2017, ACM, 978-1-4503-4888-1. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Stephen V. Cole, Jeremy Buhler |
MERCATOR: A GPGPU Framework for Irregular Streaming Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCS ![In: 2017 International Conference on High Performance Computing & Simulation, HPCS 2017, Genoa, Italy, July 17-21, 2017, pp. 727-736, 2017, IEEE, 978-1-5386-3249-9. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Ning Zhang, Chuntao Jiang, Xian-He Sun, Shuaiwen Leon Song |
Evaluating GPGPU Memory Performance Through the C-AMAT Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCHPC@SC ![In: Proceedings of the Workshop on Memory Centric Programming for HPC, MCHPC@SC 2017, Denver, CO, USA, November 12, 2017, pp. 35-39, 2017, ACM, 978-1-4503-5131-7. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Yusuke Suzuki, Hiroshi Yamada, Shinpei Kato, Kenji Kono |
GLoop: an event-driven runtime for consolidating GPGPU applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SoCC ![In: Proceedings of the 2017 Symposium on Cloud Computing, SoCC 2017, Santa Clara, CA, USA, September 24-27, 2017, pp. 80-93, 2017, ACM, 978-1-4503-5028-0. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Nirmal Prajapati, Waruna Ranasinghe, Sanjay V. Rajopadhye, Rumen Andonov, Hristo N. Djidjev, Tobias Grosser |
Simple, Accurate, Analytical Time Modeling and Optimal Tile Size Selection for GPGPU Stencils. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the 22nd ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, Austin, TX, USA, February 4-8, 2017, pp. 163-177, 2017, ACM, 978-1-4503-4493-7. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Petr Jecmen, Frédéric Lerasle, Alhayat Ali Mekonnen |
Trade-off Between GPGPU based Implementations of Multi Object Tracking Particle Filter. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VISIGRAPP (6: VISAPP) ![In: Proceedings of the 12th International Joint Conference on Computer Vision, Imaging and Computer Graphics Theory and Applications (VISIGRAPP 2017) - Volume 6: VISAPP, Porto, Portugal, February 27 - March 1, 2017., pp. 123-131, 2017, SciTePress, 978-989-758-227-1. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Vasco Grossmann, Manfred Schimmler |
GPGPU-based identification of cointegrated portfolios. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SSCI ![In: 2017 IEEE Symposium Series on Computational Intelligence, SSCI 2017, Honolulu, HI, USA, November 27 - Dec. 1, 2017, pp. 1-6, 2017, IEEE, 978-1-5386-2726-6. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
15 | Reza Azimi, Tyler Fox, Sherief Reda |
Understanding the Role of GPGPU-Accelerated SoC-Based ARM Clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: 2017 IEEE International Conference on Cluster Computing, CLUSTER 2017, Honolulu, HI, USA, September 5-8, 2017, pp. 333-343, 2017, IEEE Computer Society, 978-1-5386-2326-8. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|