The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ICCD"( http://dblp.L3S.de/Venues/ICCD )

URL (DBLP): http://dblp.uni-trier.de/db/conf/iccd

Publication years (Num. hits)
1988 (125) 1989 (116) 1990 (107) 1991 (128) 1992 (120) 1993 (114) 1994 (116) 1995 (97) 1996 (83) 1997 (104) 1998 (97) 1999 (102) 2000 (94) 2001 (89) 2002 (90) 2003 (92) 2004 (91) 2005 (116) 2006 (82) 2007 (94) 2008 (107) 2009 (81) 2010 (84) 2011 (84) 2012 (100) 2013 (82) 2014 (81) 2015 (115) 2016 (108) 2017 (111) 2018 (86) 2019 (93) 2020 (103) 2021 (88) 2022 (107) 2023 (89)
Publication types (Num. hits)
inproceedings(3546) proceedings(30)
Venues (Conferences, Journals, ...)
ICCD(3576)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1102 occurrences of 642 keywords

Results
Found 3576 publication records. Showing 3576 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Chunxue Zuo, Fang Wang 0001, Ping Huang, Yuchong Hu, Dan Feng 0001, Yucheng Zhang PFCG: Improving the Restore Performance of Package Datasets in Deduplication Systems. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Amir Saman Memaripour, Steven Swanson Breeze: User-Level Access to Non-Volatile Main Memories for Legacy Software. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Dara Rahmati, Sobhan Masoudi, Ahmad Khonsari, Reza Sabbaghi-Nadooshan Accurate Performance Bounds Calculation for Dynamic Voltage-Freq Islands in Best Effort NoCs. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Deok Keun Oh, Mu Jun Choi, Juho Kim Thermal-Aware 3D Symmetrical Buffered Clock Tree Synthesis. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Bozhi Liu, Roman Lysecky, Janet Meiling Wang Roveda Composable Template Attacks Using Templates for Individual Architectural Components. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Zeye Liu 0001, Ronald D. Blanton Back-End Layout Reflection for Test Chip Design. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Chiou-Yng Lee, Jiafeng Xie Low Area-Delay Complexity Digit-Level Parallel-In Serial-Out Multiplier Over GF(2m) Based on Overlap-Free Karatsuba Algorithm. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Minghua Shen, Nong Xiao Fine-Grained Parallel Routing for FPGAs with Selective Expansion. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1David Werner, Kyle Juretus, Ioannis Savidis, Mark Hempstead Machine Learning on the Thermal Side-Channel: Analysis of Accelerator-Rich Architectures. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hamza Omar, Syed Kamran Haider, Ling Ren 0001, Marten van Dijk, Omer Khan Breaking the Oblivious-RAM Bandwidth Wall. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sujeong Jo, Hanmin Park, Gunhee Lee, Kiyoung Choi Training Neural Networks with Low Precision Dynamic Fixed-Point. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Fei Wu 0005, Yue Zhu, Qin Xiong, Zhonghai Lu, You Zhou, Weizhen Kong, Changsheng Xie Characterizing 3D Charge Trap NAND Flash: Observations, Analyses and Applications. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Qiao Li 0001, Liang Shi, Riwei Pan, Cheng Ji, Xiaoqiang Li, Chun Jason Xue Selective Compression Scheme for Read Performance Improvement on Flash Devices. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Lukas Sommer, Julian Oppermann, Alejandro Molina 0001, Carsten Binnig, Kristian Kersting, Andreas Koch 0001 Automatic Mapping of the Sum-Product Network Inference Problem to FPGA-Based Accelerators. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Qian Zhang 0042, Yongbin Zhou, Shuang Qiu 0004, Wei Cheng 0003, Jingdian Ming, Rui Zhang 0002 A Compact AES Hardware Implementation Secure Against 1st-Order Side-Channel Attacks. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jianmin Qian, Jian Li 0021, Ruhui Ma, Haibing Guan Optimizing Virtual Resource Management for Consolidated NUMA Systems. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Fan Yang, Junbin Kang, Shuai Ma 0001, Jinpeng Huai A Highly Non-Volatile Memory Scalable and Efficient File System. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Minghua Shen, Nong Xiao Load Balance-Aware Multi-Core Parallel Routing for Large-Scale FPGAs. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kunal Bharathi, Harsh Kumar, Abbas A. Fairouz, Ahmad Al Kawam, Sunil P. Khatri A Plain-Text Incremental Compression (PIC) Technique with Fast Lookup Ability. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kunal Bansal, Michael S. Hsiao Optimization of Mutant Space for RTL Test Generation. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Andrew B. Kahng, Uday Mallappa, Lawrence K. Saul Using Machine Learning to Predict Path-Based Slack from Graph-Based Timing Analysis. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jinhang Choi, Jack Sampson, Vijaykrishnan Narayanan Heuristic Approximation of Early-Stage CNN Data Representation for Vision Intelligence Systems. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Lorenzo Ferretti, Giovanni Ansaloni, Laura Pozzi Lattice-Traversing Design Space Exploration for High Level Synthesis. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Athanasios Chatzidimitriou, George Papadimitriou 0001, Dimitris Gizopoulos, Shrikanth Ganapathy, John Kalamatianos Analysis and Characterization of Ultra Low Power Branch Predictors. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1 36th IEEE International Conference on Computer Design, ICCD 2018, Orlando, FL, USA, October 7-10, 2018 Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  BibTeX  RDF
1Fei Wu 0005, Zuo Lu, You Zhou, Xubin He, Zhi-hu Tan, Changsheng Xie OSPADA: One-Shot Programming Aware Data Allocation Policy to Improve 3D NAND Flash Read Performance. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mohammad Nasim Imtiaz Khan, Swaroop Ghosh Analysis of Row Hammer Attack on STTRAM. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Nadir Amin Carreon, Sixing Lu, Roman Lysecky Hardware-Based Probabilistic Threat Detection and Estimation for Embedded Systems. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Gaoxiang Xu, Zhipeng Tan, Dan Feng 0001, Yifeng Zhu, Xinyan Zhang, Jie Xu 0013 Cap: Exploiting Data Correlations to Improve the Performance and Endurance of SSD RAID. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Payman Behnam, Arjun Pal Chowdhury, Mahdi Nazm Bojnordi R-Cache: A Highly Set-Associative In-Package Cache Using Memristive Arrays. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Haixin Huang, Kaixin Huang, Litong You, Linpeng Huang Forca: Fast and Atomic Remote Direct Access to Persistent Memory. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Seyedhamidreza Motaman, Swaroop Ghosh Dynamic Computing in Memory (DCIM) in Resistive Crossbar Arrays. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kyu Yeun Kim, Woongki Baek BLPP: Improving the Performance of GPGPUs with Heterogeneous Memory through Bandwidth- and Latency-Aware Page Placement. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yanwen Xie, Dan Feng 0001, Fang Wang 0001, Xinyan Zhang, Jizhong Han, Xuehai Tang OME: An Optimized Modeling Engine for Disk Failure Prediction in Heterogeneous Datacenter. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Shinji Sakai, Taishi Suenaga, Ryota Shioya, Hideki Ando Rearranging Random Issue Queue with High IPC and Short Delay. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hao Wen 0002, Wei Zhang 0002 Reducing Inter-Application Interferences in Integrated CPU-GPU Heterogeneous Architecture. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yue Xu, Hyung Gyu Lee, Xianzhang Chen, Bo Peng, Duo Liu, Liang Liang 0002 Puppet: Energy Efficient Task Mapping For Storage-Less and Converter-Less Solar-Powered Non-Volatile Sensor Nodes. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Te I, Murtuza Lokhandwala, Yu-Ching Hu, Hung-Wei Tseng 0001 Pensieve: a Machine Learning Assisted SSD Layer for Extending the Lifetime. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Alvaro Velasquez, Sumit Kumar Jha 0001 3D Crosspoint Memory as a Parallel Architecture for Computing Network Reachability. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hye-Yeon Yoon, Tae-Hwan Kim Generalized Tree Architecture for Efficient Successive-Cancellation Polar Decoding. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jan Kucera 0004, Lukas Kekely, Adam Piecek, Jan Korenek General IDS Acceleration for High-Speed Networks. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Adnan Siraj Rakin, Shaahin Angizi, Zhezhi He, Deliang Fan PIM-TGAN: A Processing-in-Memory Accelerator for Ternary Generative Adversarial Networks. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Elmira Karimi, Zhen Hang Jiang, Yunsi Fei, David R. Kaeli A Timing Side-Channel Attack on a Mobile GPU. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Huixiang Chen 0001, Yuting Dai, Rui Xue, Kan Zhong, Tao Li 0006 Towards Efficient Microarchitecture Design of Simultaneous Localization and Mapping in Augmented Reality Era. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mustafa Cavus, Resit Sendag, Joshua J. Yi Array Tracking Prefetcher for Indirect Accesses. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Andreas Kurth, Pirmin Vogel, Andrea Marongiu, Luca Benini Scalable and Efficient Virtual Memory Sharing in Heterogeneous SoCs with TLB Prefetching and MMU-Aware DMA Engine. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Behzad Boroujerdian, Hussam Amrouch, Jörg Henkel, Andreas Gerstlauer Trading Off Temperature Guardbands via Adaptive Approximations. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ricardo Alves 0001, Stefanos Kaxiras, David Black-Schaffer Dynamically Disabling Way-prediction to Reduce Instruction Replay. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Xiang Pan, Anys Bacha, Spencer Rudolph, Li Zhou, Yinqian Zhang, Radu Teodorescu NVCool: When Non-Volatile Caches Meet Cold Boot Attacks. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Alec Roelke, Xinfei Guo, Mircea Stan OldSpot: A Pre-RTL Model for Fine-Grained Aging and Lifetime Optimization. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yongbin Gu, Lizhong Chen CART: Cache Access Reordering Tree for Efficient Cache and Memory Accesses in GPUs. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jian Zhou, Jun Wang 0001 ArchSampler: Architecture-Aware Memory Sampling Library for In-Memory Applications. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Bikash Poudel, Arslan Munir Design and Evaluation of a PVT Variation-Resistant TRNG Circuit. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Siyuan Xu, Benjamin Carrión Schäfer Autonomous Temperature Management through Selective Control of Exact-Approximate Tiles. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Maedeh Hemmat, Azadeh Davoodi Power-Efficient ReRAM-Aware CNN Model Generation. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Padmaja Bhamidipati, Avinash Karanth RETUNES: Reliable and Energy-Efficient Network-on-Chip Architecture. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jee Ho Ryoo, Shuang Song 0007, Lizy K. John Puzzle Memory: Multifractional Partitioned Heterogeneous Memory Scheme. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Abdullah Ash-Saki, Swaroop Ghosh How Multi-Threshold Designs Can Protect Analog IPs. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Soheil Salehi, Ronald F. DeMara BGIM: Bit-Grained Instant-on Memory Cell for Sleep Power Critical Mobile Applications. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Suyuan Chen, Ranga Vemuri Reverse Engineering of Split Manufactured Sequential Circuits Using Satisfiability Checking. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Joydeep Rakshit, Kartik Mohanram ReadPRO: Read Prioritization Scheduling in ORAM for Efficient Obfuscation in Main Memories. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tania Khanna, Michael Hsiao Guiding RTL Test Generation Using Relevant Potential Invariants. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Cunlu Li, Dezun Dong, Xiangke Liao Eca-Router : On Achieving Endpoint Congestion Aware Switch Allocation in the On-Chip Network. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Siavash Rezaei, Kanghee Kim, Eli Bozorgzadeh Scalable Multi-Queue Data Transfer Scheme for FPGA-Based Multi-Accelerators. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Siyuan Xu, Benjamin Carrión Schäfer DEEP: Dedicated Energy-Efficient Approximation for Dynamically Reconfigurable Architectures. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yingyi Luo, Xiaoyang Wang, Seda Ogrenci Memik, Gokhan Memik, Kazutomo Yoshii, Peter H. Beckman Minimizing Thermal Variation in Heterogeneous HPC Systems with FPGA Nodes. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yuting Cao, Hao Zheng 0001, Hernan M. Palombo, Sandip Ray, Jin Yang 0006 A Post-Silicon Trace Analysis Approach for System-on-Chip Protocol Debug. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jing Chen, Xue Liu A High-Performance Deeply Pipelined Architecture for Elementary Transcendental Function Evaluation. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Farimah Farahmandi, Prabhat Mishra 0001 FSM Anomaly Detection Using Formal Analysis. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Nils Voss, Marco Bacis, Oskar Mencer, Georgi Gaydadjiev, Wayne Luk Convolutional Neural Networks on Dataflow Engines. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yuntao Liu 0001, Yang Xie, Ankur Srivastava 0001 Neural Trojans. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lei Mo, Angeliki Kritikakou, Olivier Sentieys Decomposed Task Mapping to Maximize QoS in Energy-Constrained Real-Time Multicores. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jiajun Wang, Reena Panda, Lizy Kurian John SelSMaP: A Selective Stride Masking Prefetching Scheme. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tuck-Boon Chan, Wei-Ting Jonas Chan, Andrew B. Kahng ILP-Based Identification of Redundant Logic Insertions for Opportunistic Yield Improvement during Early Process Learning. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Akshay Lahiry, David R. Kaeli Dual Dictionary Compression for the Last Level Cache. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Xiaobang Liu, Ranga Vemuri Effective Signal Restoration in Post-Silicon Validation. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Wang Kang 0001, He Zhang 0011, Peng Ouyang, Youguang Zhang, Weisheng Zhao Programmable Stateful In-Memory Computing Paradigm via a Single Resistive Device. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Abhishek Das, Nur A. Touba Limited Magnitude Error Correction Using OLS Codes for Memories with Multilevel Cells. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Michaela Blott, Thomas B. Preußer, Nicholas J. Fraser, Giulio Gambardella, Kenneth O'Brien, Yaman Umuroglu, Miriam Leeser Scaling Neural Network Performance through Customized Hardware Architectures on Reconfigurable Logic. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mourad Dridi, Stéphane Rubini, Mounir Lallali, Martha Johanna Sepúlveda Flórez, Frank Singhoff, Jean-Philippe Diguet DAS: An Efficient NoC Router for Mixed-Criticality Real-Time Systems. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hamza Omar, Masab Ahmad, Omer Khan GraphTuner: An Input Dependence Aware Loop Perforation Scheme for Efficient Execution of Approximated Graph Algorithms. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jack Tang, Mohamed Ibrahim 0002, Krishnendu Chakrabarty, Ramesh Karri Security Trade-Offs in Microfluidic Routing Fabrics. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Benedikt Dietrich, Nadja Peters, Sangyoung Park, Samarjit Chakraborty Estimating the Limits of CPU Power Management for Mobile Games. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sudipta Paul 0001, Pritha Banerjee 0001, Susmita Sur-Kolay Post-Layout Perturbation towards Stitch Friendly Layout for Multiple E-Beam Lithography. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chengning Wang, Dan Feng 0001, Jingning Liu, Wei Tong 0001, Bing Wu 0001, Yang Zhang 0051 DAWS: Exploiting Crossbar Characteristics for Improving Write Performance of High Density Resistive Memory. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Nick Iliev, Amit Ranjan Trivedi Low Power Spatial Localization of Mobile Sensors with Recurrent Neural Network. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Nektarios Georgios Tsoutsos, Oleg Mazonka, Michail Maniatakos Memory-Bounded Randomness for Hardware-Constrained Encrypted Computation. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ahmed Waheed Khan, Tanya Wanchoo, Gokhan Mumcu, Selçuk Köse Implications of Distributed On-Chip Power Delivery on EM Side-Channel Attacks. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Wonil Choi, Myoungsoo Jung, Mahmut T. Kandemir, Chita R. Das A Scale-Out Enterprise Storage Architecture. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jie Xu 0013, Dan Feng 0001, Wei Tong 0001, Jingning Liu, Wen Zhou Encoding Separately: An Energy-Efficient Write Scheme for MLC STT-RAM. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Bingzhe Li, Yaobin Qin, Bo Yuan 0001, David J. Lilja Neural Network Classifiers Using Stochastic Computing with a Hardware-Oriented Approximate Activation Function. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yong Shim, Akhilesh Jaiswal 0001, Kaushik Roy 0001 Stochastic Switching of SHE-MTJ as a Natural Annealer for Efficient Combinatorial Optimization. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Eric Cheng, Jacob A. Abraham, Pradip Bose, Alper Buyuktosunoglu, Keith A. Campbell, Deming Chen, Chen-Yong Cher, Hyungmin Cho, Binh Q. Le, Klas Lilja, Shahrzad Mirkhani, Kevin Skadron, Mircea Stan, Lukasz G. Szafaryn, Christos Vezyrtzis, Subhasish Mitra Cross-Layer Resilience in Low-Voltage Digital Systems: Key Insights. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jie Xu 0013, Dan Feng 0001, Yu Hua 0001, Wei Tong 0001, Jingning Liu, Chunyan Li, Wen Zhou Improving Performance of TLC RRAM with Compression-Ratio-Aware Data Encoding. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jiaojiao Ou, Xiaoqing Xu, Brian Cline, Greg Yeric, David Z. Pan DTCO for DSA-MP Hybrid Lithography with Double-BCP Materials in Sub-7nm Node. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Emanuele Del Sozzo, Riyadh Baghdadi, Saman P. Amarasinghe, Marco D. Santambrogio A Common Backend for Hardware Acceleration on FPGA. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Meng Zhang 0014, Fei Wu 0005, Yajuan Du, Chengmo Yang, Changsheng Xie, Jiguang Wan CooECC: A Cooperative Error Correction Scheme to Reduce LDPC Decoding Latency in NAND Flash. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kramer Straube, Christopher Nitta, Raj Amirtharajah, Matthew K. Farrens, Venkatesh Akella Improving Execution Time of Parallel Programs on Large Scale Chip Multiprocessors with Constant Average Power Processing. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tianyue Lu, Yuhang Liu 0001, Haiyang Pan, Mingyu Chen 0001 TDV Cache: Organizing Off-Chip DRAM Cache of NVMM from a Fusion Perspective. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Samah Mohamed Saeed, Nithin Mahendran, Alwin Zulehner, Robert Wille, Ramesh Karri Identifying Reversible Circuit Synthesis Approaches to Enable IP Piracy Attacks. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 3576 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license