The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Microprocessors with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1975 (24) 1976 (15) 1977 (44) 1978 (21) 1979 (18) 1980 (29) 1981 (15) 1982 (23) 1983 (24) 1984 (23) 1985 (27) 1986-1987 (20) 1988-1989 (28) 1990 (17) 1991-1992 (23) 1993-1994 (38) 1995 (46) 1996 (44) 1997 (55) 1998 (63) 1999 (84) 2000 (99) 2001 (77) 2002 (125) 2003 (123) 2004 (156) 2005 (169) 2006 (174) 2007 (157) 2008 (144) 2009 (77) 2010 (47) 2011 (37) 2012 (32) 2013 (32) 2014 (24) 2015 (23) 2016 (22) 2017 (23) 2018 (16) 2019 (17) 2020 (20) 2021 (22) 2022-2023 (23) 2024 (10)
Publication types (Num. hits)
article(666) book(5) incollection(7) inproceedings(1598) phdthesis(53) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1754 occurrences of 998 keywords

Results
Found 2330 publication records. Showing 2330 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
14Luis Entrena, Mario García-Valderas, Raúl Fernández Cardenal, Almudena Lindoso, Marta Portela-García, Celia López-Ongil Soft Error Sensitivity Evaluation of Microprocessors by Multilevel Emulation-Based Fault Injection. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Michail Maniatakos, Chandrasekharan Tirumurti, Rajesh Galivanche, Yiorgos Makris Global Signal Vulnerability (GSV) Analysis for Selective State Element Hardening in Modern Microprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Marta Portela-García, Michelangelo Grosso, M. Gallardo-Campos, Matteo Sonza Reorda, Luis Entrena, Mario García-Valderas, Celia López-Ongil On the use of embedded debug features for permanent and transient fault resilience in microprocessors. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Faizal Arya Samman, Thomas Hollstein, Manfred Glesner Erratum to Planar adaptive network-on-chip supporting deadlock-free and efficient tree-based multicast routing method Microprocessors and Microsystems (2012) 449-461. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Bijan Alizadeh Formal Verification and Debugging of Precise Interrupts on High Performance Microprocessors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Joonho Kong, Sung Woo Chung, Kevin Skadron Recent thermal management techniques for microprocessors. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Selçuk Baktir, Erkay Savas Highly-Parallel Montgomery Multiplication for Multi-core General-Purpose Microprocessors. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2012 DBLP  BibTeX  RDF
14Daniel Gil-Tomas, Joaquin Gracia-Moran, Juan-Carlos Baraza-Calvo, Luis J. Saiz-Adalid, Pedro J. Gil-Vicente Analyzing the Impact of Intermittent Faults on Microprocessors Applying Fault Injection. Search on Bibsonomy IEEE Des. Test The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Juan Antonio Gómez Pulido, Miguel A. Vega-Rodríguez Advances in Sensors-Centric Microprocessors and System-on-Chip. Search on Bibsonomy Sensors The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Pei-Yao Chang, Tay-Jyi Lin, Jinn-Shyan Wang, Yen-Hsiang Yu A 4R/2W Register File Design for UDVS Microprocessors in 65-nm CMOS. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Alessandro Barenghi, Guido Marco Bertoni, Luca Breveglieri, Mauro Pellicioli, Gerardo Pelosi Injection Technologies for Fault Attacks on Microprocessors. Search on Bibsonomy Fault Analysis in Cryptography The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Paolo Bernardi, Lyl M. Ciganda, Michelangelo Grosso, Ernesto Sánchez 0001, Matteo Sonza Reorda A SBST strategy to test microprocessors' Branch Target Buffer. Search on Bibsonomy DDECS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Daya Shanker Khudia, Griffin Wright, Scott A. Mahlke Efficient soft error protection for commodity embedded microprocessors using profile information. Search on Bibsonomy LCTES The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Savithra Eratne, Eugene John, Byeong Kil Lee Reducing thermal hotspots in microprocessors with expanded component sizing. Search on Bibsonomy MWSCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Arunkumar Vijayakumar, Raghavan Kumar, Sandip Kundu On Design of Low Cost Power Supply Noise Detection Sensor for Microprocessors. Search on Bibsonomy ISVLSI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Salvatore Campagna, Massimo Violante An hybrid architecture to detect transient faults in microprocessors: An experimental validation. Search on Bibsonomy DATE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Hai Wang 0002, Sheldon X.-D. Tan, Xuexin Liu, Ashish Gupta 0007 Runtime power estimator calibration for high-performance microprocessors. Search on Bibsonomy DATE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Kunio Takaya Transputer-like Multicore Digital Signal Processing on the Array of ARM Cortex-M0 Microprocessors. Search on Bibsonomy MCSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Syed Zafar Shazli, Mehdi Baradaran Tahoori Online detection and recovery of transient errors in front-end structures of microprocessors. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Miroslav N. Velev, Ping Gao 0002 Automated debugging of counterexamples in formal verification of pipelined microprocessors. Search on Bibsonomy ASP-DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Borislav Alexandrov, Owen Sullivan, Satish Kumar, Saibal Mukhopadhyay Prospects of active cooling with integrated super-lattice based thin-film thermoelectric devices for mitigating hotspot challenges in microprocessors. Search on Bibsonomy ASP-DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Uros Pesovic, Zeljko Jovanovic, Sinisa Randjic, Dusan Markovic Benchmarking performance and energy efficiency of microprocessors for wireless sensor network applications. Search on Bibsonomy MIPRO The full citation details ... 2012 DBLP  BibTeX  RDF
14Gonçalo Martins, Dave Lacey, Allistair Moses, Matthew J. Rutherford, Kimon P. Valavanis A case for I/O response benchmarking of microprocessors. Search on Bibsonomy IECON The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Selçuk Baktir, Erkay Savas Highly-Parallel Montgomery Multiplication for Multi-Core General-Purpose Microprocessors. Search on Bibsonomy ISCIS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Hwajeong Seo, Howon Kim 0001 Multi-precision Multiplication for Public-Key Cryptography on Embedded Microprocessors. Search on Bibsonomy WISA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Chang-Chih Chen, Fahad Ahmed, Linda Milor A comparative study of wearout mechanisms in state-of-art microprocessors. Search on Bibsonomy ICCD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Aleksandar Simevski, Rolf Kraemer, Milos Krstic Platform for automated HW/SW co-verification, testing and simulation of microprocessors. Search on Bibsonomy LATW The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Kunio Takaya Transputer-like multicore parallel processing on the array of ARM Cortex-M0 microprocessors. Search on Bibsonomy CCECE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Michail Maniatakos, Maria K. Michael, Yiorgos Makris Vulnerability-based Interleaving for Multi-Bit Upset (MBU) protection in modern microprocessors. Search on Bibsonomy ITC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Joonho Kong, Sung Woo Chung Exploiting narrow-width values for process variation-tolerant 3-D microprocessors. Search on Bibsonomy DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Georgios Theodorou Fault detection methodology for caches in reliable modern VLSI microprocessors based on instruction set architectures Search on Bibsonomy 2012   RDF
14 Microprocessors. Search on Bibsonomy Encyclopedia of Parallel Computing The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Sanu Mathew, Farhana Sheikh, Michael E. Kounavis, Shay Gueron, Amit Agarwal 0001, Steven Hsu, Himanshu Kaul, Mark A. Anders 0001, Ram Krishnamurthy 0001 53 Gbps Native GF(2 4) 2 Composite-Field AES-Encrypt/Decrypt Accelerator for Content-Protection in 45 nm High-Performance Microprocessors. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Ig-hoon Lee, Sang-goo Lee, Junho Shim Making T-Trees Cache Conscious on Commodity Microprocessors. Search on Bibsonomy J. Inf. Sci. Eng. The full citation details ... 2011 DBLP  BibTeX  RDF
14Olav Lindtjorn, Robert G. Clapp, Oliver Pell, Haohuan Fu, Michael J. Flynn, Oskar Mencer Beyond Traditional Microprocessors for Geoscience High-Performance Computing Applications. Search on Bibsonomy IEEE Micro The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Martin Omaña 0001, Cecilia Metra, T. M. Mak, Simon Tam 0001 Low-Cost Dynamic Compensation Scheme for Local Clocks of Next Generation High Performance Microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Makoto Sugihara A Dynamic Continuous Signature Monitoring Technique for Reliable Microprocessors. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Jiongyao Ye, Yu Wan 0002, Takahiro Watanabe A New Recovery Mechanism in Superscalar Microprocessors by Recovering Critical Misprediction. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Xiangyu Dong, Xiaoxia Wu, Yuan Xie 0001, Yiran Chen 0001, Hai (Helen) Li Stacking magnetic random access memory atop microprocessors: an architecture-level evaluation. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Diary R. Sulaiman Microprocessors thermal challenges for portable and embedded systems using thermal throttling technique. Search on Bibsonomy WCIT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Parthasarathy Ranganathan From Microprocessors to Nanostores: Rethinking Data-Centric Systems. Search on Bibsonomy Computer The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Antonio Carpeño, Jesús Arriaga, Javier Corredor, Javier Hernandez The Key Factors of an Active Learning Method in a Microprocessors Course. Search on Bibsonomy IEEE Trans. Educ. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Shekhar Borkar, Andrew A. Chien The future of microprocessors. Search on Bibsonomy Commun. ACM The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Marta Portela-García, Celia López-Ongil, Mario García-Valderas, Luis Entrena Fault Injection in Modern Microprocessors Using On-Chip Debugging Infrastructures. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Renaud Sirdey Contributions à l'optimisation combinatoire pour l'embarqué : des autocommutateurs cellulaires aux microprocesseurs massivement parallèles. (Contributions to combinatorial optimization for embedded computing : from wireless switching systems to massively parallel microprocessors). Search on Bibsonomy 2011   RDF
14Vipul Chawla, Dong Sam Ha Dual use of power lines for data communications in microprocessors. Search on Bibsonomy DDECS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Daecheol You, Young-Si Hwang, Youngho Ahn, Ki-Seok Chung A Test Method for Power Management of SoC-based Microprocessors. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Stefano Di Carlo, Giulio Gambardella, Marco Indaco, Daniele Rolfo, Paolo Prinetto MarciaTesta: An Automatic Generator of Test Programs for Microprocessors' Data Caches. Search on Bibsonomy Asian Test Symposium The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Alexander Kamkin, Eugene Kornykhin, Dmitry Vorobyev Reconfigurable Model-Based Test Program Generator for Microprocessors. Search on Bibsonomy ICST Workshops The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14José Rodrigo Azambuja, Samuel Pagliarini, Maurício Altieri, Fernanda Lima Kastensmidt, Michael Hübner 0001, Jürgen Becker 0001 Using dynamic partial reconfiguration to detect sees in microprocessors through non-intrusive hybrid technique. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Kohei Matsumoto, Hiroyuki Umeo, Nobuyuki Yamasaki A Thread Speed Control Scheme for Real-Time Microprocessors. Search on Bibsonomy RTCSA (2) The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Miroslav N. Velev, Ping Gao 0002 Automatic formal verification of multithreaded pipelined microprocessors. Search on Bibsonomy ICCAD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Ernesto Sánchez 0001, Giovanni Squillero, Alberto Paolo Tonda Evolutionary failing-test generation for modern microprocessors. Search on Bibsonomy GECCO (Companion) The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Matthias Sauer 0002, Victor Tomashevich, Jörg Müller 0004, Matthew Lewis 0004, Andreas Spilla, Ilia Polian, Bernd Becker 0001, Wolfram Burgard An FPGA-based framework for run-time injection and analysis of soft errors in microprocessors. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Rance Rodrigues, Sandip Kundu On graceful degradation of microprocessors in presence of faults via resource banking. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Paolo Bernardi, Lyl M. Ciganda, Ernesto Sánchez 0001, Matteo Sonza Reorda An effective methodology for on-line testing of embedded microprocessors. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Liucheng Guo, Jiangfang Yi, Liang Zhang, Xiaoyin Wang, Dong Tong 0001 CGA: Combining cluster analysis with genetic algorithm for regression suite reduction of microprocessors. Search on Bibsonomy SoCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Rajesh Thirugnanam, Dong Sam Ha Feasibility study for communication over Power Distribution Networks of microprocessors. Search on Bibsonomy SoCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14José Rodrigo Azambuja, Angelo Cardoso Lapolli, Maurício Altieri, Fernanda Lima Kastensmidt Evaluating the efficiency of data-flow software-based techniques to detect SEEs in microprocessors. Search on Bibsonomy LATW The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Mandar Padmawar, Sanghamitra Roy, Koushik Chakraborty Integrated circuit-architectural framework for PSN aware floorplanning in microprocessors. Search on Bibsonomy ISQED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Michael Hutter, Erich Wenger Fast Multi-precision Multiplication for Public-Key Cryptography on Embedded Microprocessors. Search on Bibsonomy CHES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14W. M. Roshan Weerasuriya, D. N. Ranasinghe Older Opteron Outperforms the Newer Xeon: A Memory Intensive Application Study of Server Based Microprocessors. Search on Bibsonomy ICSEng The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Michael B. Henry, Meeta Srivastav, Leyla Nazhandali A case for NEMS-based functional-unit power gating of low-power embedded microprocessors. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Miroslav N. Velev, Ping Gao 0002 Modular Schemes for Constructing Equivalent Boolean Encodings of Cardinality Constraints and Application to Error Diagnosis in Formal Verification of Pipelined Microprocessors. Search on Bibsonomy SARA The full citation details ... 2011 DBLP  BibTeX  RDF
14Amin Ansari Overcoming Hard-Faults in High-Performance Microprocessors. Search on Bibsonomy 2011   RDF
14Kubilay Tasdelen 8051 mikroişlemcileri için internet tabanlı, çok kullanıcılı emülatör tasarımı (Internet based, multi-user emulator design for 8051 microprocessors) Search on Bibsonomy 2011   RDF
14Hazem I. Shehata Formal Verification of Instruction Dependencies in Microprocessors. Search on Bibsonomy 2011   RDF
14Rupesh S. Shelar Routing With Constraints for Post-Grid Clock Distribution in Microprocessors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Ryan N. Rakvic, Qiong Cai, José González 0002, Grigorios Magklis, Pedro Chaparro, Antonio González 0001 Thread-management techniques to maximize efficiency in multicore and simultaneous multithreaded microprocessors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14E. V. Kornykhin Generation of test data for verification of caching mechanisms and address translation in microprocessors. Search on Bibsonomy Program. Comput. Softw. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Duo Li, Sheldon X.-D. Tan, Eduardo H. Pacheco, Murli Tirumala Parameterized architecture-level dynamic thermal models for multicore microprocessors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Chao-Hung Chen, Hsiung-Cheng Lin, Ying-Chu Liu, Wei-Chung Hsu Local-loop based robot action control module using independent microprocessors. Search on Bibsonomy Comput. Appl. Eng. Educ. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14M. Bellotti, R. Mariani How future automotive functional safety requirements will impact microprocessors design. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Miroslav N. Velev, Ping Gao 0002 Method for Formal Verification of Soft-Error Tolerance Mechanisms in Pipelined Microprocessors. Search on Bibsonomy ICFEM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Lin Li, Youtao Zhang, Jun Yang 0002, Jianhua Zhao Proactive NBTI mitigation for busy functional units in out-of-order microprocessors. Search on Bibsonomy DATE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Makoto Sugihara Dynamic Control Flow Checking Technique for Reliable Microprocessors. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Young-Joon Lee, Sung Kyu Lim Timing analysis and optimization for 3D stacked multi-core microprocessors. Search on Bibsonomy 3DIC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Savithra Eratne, Claudia Romo, Eugene John, Byeong Kil Lee Leakage and Access Time Tradeoffs for Cache in High Performance Microprocessors. Search on Bibsonomy CDES The full citation details ... 2010 DBLP  BibTeX  RDF
14Ian A. Young Analog mixed-signal circuits in advanced nano-scale CMOS technology for microprocessors and SoCs. Search on Bibsonomy ESSCIRC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Bijan Alizadeh, Amir Masoud Gharehbaghi, Masahiro Fujita Pipelined Microprocessors Optimization and Debugging. Search on Bibsonomy ARC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Ram Krishnamurthy 0001 High-Performance Energy-Efficient Reconfigurable Accelerators/Co-processors for Tera-Scale Multi-core Microprocessors. Search on Bibsonomy ARC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Danbee Park, Jungseob Lee, Nam Sung Kim, Taewhan Kim Optimal algorithm for profile-based power gating: A compiler technique for reducing leakage on execution units in microprocessors. Search on Bibsonomy ICCAD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Jaehyun Park 0005, Donghwa Shin, Naehyuck Chang, Massoud Pedram Accurate modeling and calculation of delay and energy overheads of dynamic voltage scaling in modern high-performance microprocessors. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF DVS overhead model, PLL, DVFS, DC-DC converter
14Meltem Ozsoy, Yusuf Onur Koçberber, Mehmet Kayaalp 0001, Oguz Ergin Dynamic register file partitioning in superscalar microprocessors for energy efficiency. Search on Bibsonomy ICCD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Andreas Merentitis, Antonis M. Paschalis, Dimitris Gizopoulos, Nektarios Kranitis Energy optimal on-line Self-Test of microprocessors in WSN nodes. Search on Bibsonomy ICCD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Joseph Shor Low noise linear voltage regulator for use as an on-chip PLL supply in microprocessors. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Kosta Luria, Joseph Shor Miniaturized CMOS thermal sensor array for temperature gradient measurement in microprocessors. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Paolo Rech, Michelangelo Grosso, Fabio Melchiori, Domenico Loparco, Davide Appello, Luigi Dilillo, Alessandro Paccagnella, Matteo Sonza Reorda Analysis of root causes of alpha sensitivity variations on microprocessors manufactured using different cell layouts. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Ig-hoon Lee, Jae-Won Lee, Junho Shim, Sang-goo Lee Cache conscious trees on modern microprocessors. Search on Bibsonomy ICUIMC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Michail Maniatakos, Yiorgos Makris Workload-driven selective hardening of control state elements in modern microprocessors. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Emel Arslan, Sabri Arik Removing an Object from Video Sequence Algorithm Implemented on Analog CNN and DSP Microprocessors. Search on Bibsonomy ICANN (1) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Leonid Domnitser, Nael B. Abu-Ghazaleh, Dmitry Ponomarev 0001 A Predictive Model for Cache-Based Side Channels in Multicore and Multithreaded Microprocessors. Search on Bibsonomy MMM-ACNS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Jonathan A. Winter Adaptive Thread Management for Power, Temperature, and Reliability in Future Microprocessors. Search on Bibsonomy 2010   RDF
14Jike Chong Pattern-Oriented Application Frameworks for Domain Experts to Effectively Utilize Highly Parallel Manycore Microprocessors. Search on Bibsonomy 2010   RDF
14Soumyaroop Roy Architecture and Compiler Support for Leakage Reduction Using Power Gating in Microprocessors. Search on Bibsonomy 2010   RDF
14Yu Ho Jin Architectural Support for Efficient Communication in Future Microprocessors. Search on Bibsonomy 2010   RDF
14Soumyaroop Roy, Nagarajan Ranganathan, Srinivas Katkoori A Framework for Power-Gating Functional Units in Embedded Microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Duo Li, Sheldon X.-D. Tan, Eduardo H. Pacheco, Murli Tirumala Architecture-Level Thermal Characterization for Multicore Microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Holger Bock Axelsen, Robert Glück, Alexis De Vos, Michael Kirkedal Thomsen MicroPower: Towards Low-Power Microprocessors with Reversible Computing. Search on Bibsonomy ERCIM News The full citation details ... 2009 DBLP  BibTeX  RDF
14Sin Ming Loo, Arlen Planting Use of discrete and soft processors in introductory microprocessors and embedded systems curriculum. Search on Bibsonomy SIGBED Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 2330 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license