Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
17 | Wei-Chun Ku, Shu-Hsuan Chou, Jui-Chin Chu, Chi-Lin Liu, Tien-Fu Chen, Jiun-In Guo, Jinn-Shyan Wang |
VisoMT: A Collaborative Multithreading Multicore Processor for Multimedia Applications With a Fast Data Switching Mechanism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. Video Technol. ![In: IEEE Trans. Circuits Syst. Video Technol. 19(11), pp. 1633-1645, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Ivan Voras, Mario Zagar |
Characteristics of multithreading models for high-performance IO driven network applications ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/0909.4934, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP BibTeX RDF |
|
17 | Carlos Madriles, Pedro López 0001, Josep M. Codina, Enric Gibert, Fernando Latorre, Alejandro Martínez, Raúl Martínez, Antonio González 0001 |
Anaphase: A Fine-Grain Thread Decomposition Scheme for Speculative Multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: PACT 2009, Proceedings of the 18th International Conference on Parallel Architectures and Compilation Techniques, 12-16 September 2009, Raleigh, North Carolina, USA, pp. 15-25, 2009, IEEE Computer Society, 978-0-7695-3771-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Leo Porter 0001, Bumyong Choi, Dean M. Tullsen |
Mapping Out a Path from Hardware Transactional Memory to Speculative Multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: PACT 2009, Proceedings of the 18th International Conference on Parallel Architectures and Compilation Techniques, 12-16 September 2009, Raleigh, North Carolina, USA, pp. 313-324, 2009, IEEE Computer Society, 978-0-7695-3771-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Kyriakos Stavrou, Demos Pavlou, Marios Nikolaides, Panayiotis Petrides, Paraskevas Evripidou, Pedro Trancoso, Zdravko Popovic, Roberto Giorgi |
Programming Abstractions and Toolchain for Dataflow Multithreading Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPDC ![In: Eighth International Symposium on Parallel and Distributed Computing, ISPDC 2009, Lisbon, Portugal, June 30-July 4 2009, pp. 107-114, 2009, IEEE Computer Society, 978-0-7695-3680-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Zheng Shen, Hu He 0001, Yihe Sun |
Simultaneous Multithreading VLIW DSP Architecture with Dynamic Dispatch Mechanism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, DSD 2009, 27-29 August 2009, Patras, Greece, pp. 505-512, 2009, IEEE Computer Society, 978-0-7695-3782-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Vicenç Beltran 0001, David Carrera 0001, Jordi Torres, Eduard Ayguadé |
CellMT: A cooperative multithreading library for the Cell/B.E. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: 16th International Conference on High Performance Computing, HiPC 2009, December 16-19, 2009, Kochi, India, Proceedings, pp. 245-253, 2009, IEEE Computer Society, 978-1-4244-4922-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Rakesh Ranjan, Pedro Marcuello, Fernando Latorre, Antonio González 0001 |
P-slice based efficient speculative multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: 16th International Conference on High Performance Computing, HiPC 2009, December 16-19, 2009, Kochi, India, Proceedings, pp. 119-128, 2009, IEEE Computer Society, 978-1-4244-4922-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Enno Lübbers, Marco Platzner |
Cooperative multithreading in dynamically reconfigurable systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: 19th International Conference on Field Programmable Logic and Applications, FPL 2009, August 31 - September 2, 2009, Prague, Czech Republic, pp. 551-554, 2009, IEEE, 978-1-4244-3892-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Xiao-Yu Pan, Yinliang Zhao, Zheng Chen, Xuhao Wang, Yuanke Wei, Yanning Du |
A Thread Partitioning Method for Speculative Multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ScalCom-EmbeddedCom ![In: International Conference on Scalable Computing and Communications / Eighth International Conference on Embedded Computing, ScalCom-EmbeddedCom 2009, Dalian, China, September 25-27, 2009, pp. 285-290, 2009, IEEE Computer Society, 978-0-7695-3825-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Konstantinos I. Karantasis, Eleftherios D. Polychronopoulos |
Pleiad: a cross-environment middleware providing efficient multithreading on clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 6th Conference on Computing Frontiers, 2009, Ischia, Italy, May 18-20, 2009, pp. 109-116, 2009, ACM, 978-1-60558-413-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
cluster middleware, java, shared memory programming |
17 | Henrique C. Freitas, Felipe Lopes Madruga, Marco A. Z. Alves, Philippe Olivier Alexandre Navaux |
Design of Interleaved Multithreading for Network Processors on Chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2009), 24-17 May 2009, Taipei, Taiwan, pp. 2213-2216, 2009, IEEE, 978-1-4244-3827-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Kenzo Van Craeynest, Stijn Eyerman, Lieven Eeckhout |
MLP-Aware Runahead Threads in a Simultaneous Multithreading Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPEAC ![In: High Performance Embedded Architectures and Compilers, Fourth International Conference, HiPEAC 2009, Paphos, Cyprus, January 25-28, 2009. Proceedings, pp. 110-124, 2009, Springer, 978-3-540-92989-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Enes Bajrovic, Eduard Mehofer |
Experimental Study of Multithreading to Improve Memory Hierarchy Performance of Multi-core Processors for Scientific Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CISIS ![In: 2009 International Conference on Complex, Intelligent and Software Intensive Systems, CISIS 2009, Fukuoka, Japan, March 16-19, 2009, pp. 645-650, 2009, IEEE Computer Society, 978-0-7695-3575-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Yushi Kamiya, Tomoaki Tsumura, Hiroshi Matsuo, Yasuhiko Nakashima |
A Speculative Technique for Auto-Memoization Processor with Multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDCAT ![In: 2009 International Conference on Parallel and Distributed Computing, Applications and Technologies, PDCAT 2009, Higashi Hiroshima, Japan, 8-11 December 2009, pp. 160-166, 2009, IEEE Computer Society, 978-0-7695-3914-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Yuanming Zhang, Kanemitsu Ootsu, Takashi Yokota, Takanobu Baba |
Clustered Software Queue for Efficient Pipelined Multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDCAT ![In: 2009 International Conference on Parallel and Distributed Computing, Applications and Technologies, PDCAT 2009, Higashi Hiroshima, Japan, 8-11 December 2009, pp. 116-123, 2009, IEEE Computer Society, 978-0-7695-3914-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Andrey Brito, Christof Fetzer, Pascal Felber |
Multithreading-Enabled Active Replication for Event Stream Processing Operators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SRDS ![In: 28th IEEE Symposium on Reliable Distributed Systems (SRDS 2009), Niagara Falls, New York, USA, September 27-30, 2009, pp. 22-31, 2009, IEEE Computer Society, 978-0-7695-3826-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
fault-tolerance, distributed systems, parallel computing, speculation, event processing, active replication |
17 | George A. Gravvanis, Victor N. Epitropou |
Java multithreading-based parallel approximate arrow-type inverses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Concurr. Comput. Pract. Exp. ![In: Concurr. Comput. Pract. Exp. 20(10), pp. 1151-1172, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Kevin Schaffer, Robert A. Walker 0001 |
Using Hardware Multithreading to Overcome Broadcast/Reduction Latency in an Associative SIMD Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Parallel Process. Lett. ![In: Parallel Process. Lett. 18(4), pp. 491-509, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Chengjie Zang, Shigeki Imai, Steven Frank, Shinji Kimura |
Issue Mechanism for Embedded Simultaneous Multithreading Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. ![In: IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 91-A(4), pp. 1092-1100, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Jonathan M. R. Byrd, Stephen A. Jarvis, Abhir H. Bhalerao |
Reducing the run-time of MCMC programs by multithreading on SMP architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 22nd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2008, Miami, Florida USA, April 14-18, 2008, pp. 1-8, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Chen Liu 0001, Jean-Luc Gaudiot |
Resource sharing control in Simultaneous MultiThreading microarchitectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACSAC ![In: 13th Asia-Pacific Computer Systems Architecture Conference, ACSAC 2008, Hsinchu, China, August 4-6, 2008, pp. 1-8, 2008, IEEE Computer Society, 978-1-4244-2682-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Mikhail Semenov |
Prime-Lisp 2.0: an ISLisp Implementation in .NET with Multithreading Extensions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ELS ![In: Proceedings of the 1st European Lisp Symposium (ELS'08), Bordeaux, France, May 22-23, 2008., pp. 185-198, 2008, ELSAA. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP BibTeX RDF |
|
17 | Georgios K. Konstadinidis, Mamun Rashid, Peter F. Lai, Yukio Otaguro, Yannis Orginos, Sudhendra Parampalli, Mark Steigerwald, Shriram Gundala, Rambabu Pyapali, Leonard Rarick, Ilyas Elkin, Yuefei Ge, Ishwar Parulkar |
Implementation of a Third-Generation 16-Core 32-Thread Chip-Multithreading SPARCs® Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSCC ![In: 2008 IEEE International Solid-State Circuits Conference, ISSCC 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008, pp. 84-85, 2008, IEEE, 978-1-4244-2010-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Aqeel Mahesri, Nicholas J. Wang, Sanjay J. Patel |
Hardware support for software controlled multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGARCH Comput. Archit. News ![In: SIGARCH Comput. Archit. News 35(1), pp. 3-12, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Peter Benner, Maribel Castillo, Rafael Mayo 0002, Enrique S. Quintana-Ortí, Gregorio Quintana-Ortí |
Stabilizing large-scale generalized systems on parallel computers using multithreading and message-passing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Concurr. Comput. Pract. Exp. ![In: Concurr. Comput. Pract. Exp. 19(4), pp. 531-542, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Kyriakos Stavrou, Costas Kyriacou, Paraskevas Evripidou, Pedro Trancoso |
Chip multiprocessor based on data-driven multithreading model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. High Perform. Syst. Archit. ![In: Int. J. High Perform. Syst. Archit. 1(1), pp. 34-43, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | George A. Gravvanis, Victor N. Epitropou, Konstantinos M. Giannoutakis |
On the performance of parallel approximate inverse preconditioning using Java multithreading techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Appl. Math. Comput. ![In: Appl. Math. Comput. 190(1), pp. 255-270, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Peter James Leadbitter, Dan Page, Nigel P. Smart |
Nondeterministic Multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 56(7), pp. 992-998, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Side-channel analysis, multithreaded processors |
17 | Hans Boehm, Bill Pugh, Doug Lea |
Multithreading in C and C++. ![Search on Bibsonomy](Pics/bibsonomy.png) |
login Usenix Mag. ![In: login Usenix Mag. 32(1), 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP BibTeX RDF |
|
17 | Giorgios Kollias, Efstratios Gallopoulos |
Asynchronous Computation of PageRank computation in an interactive multithreading environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Web Information Retrieval and Linear Algebra Algorithms ![In: Web Information Retrieval and Linear Algebra Algorithms, 11.02. - 16.02.2007, 2007, Internationales Begegnungs- und Forschungszentrum für Informatik (IBFI), Schloss Dagstuhl, Germany. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP BibTeX RDF |
|
17 | Martin Labrecque, J. Gregory Steffan |
Improving Pipelined Soft Processors with Multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: FPL 2007, International Conference on Field Programmable Logic and Applications, Amsterdam, The Netherlands, 27-29 August 2007, pp. 210-215, 2007, IEEE, 1-4244-1060-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Kyueun Yi, Jean-Luc Gaudiot |
Architectural Support for Network Applications on Simultaneous MultiThreading Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), Proceedings, 26-30 March 2007, Long Beach, California, USA, pp. 1-10, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Kehuai Wu, Andreas Kanstein, Jan Madsen, Mladen Berekovic |
MT-ADRES: Multithreading on Coarse-Grained Reconfigurable Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARC ![In: Reconfigurable Computing: Architectures, Tools and Applications, Third International Workshop, ARC 2007, Mangaratiba, Brazil, March 27-29, 2007., pp. 26-38, 2007, Springer, 978-3-540-71430-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Gérard Boudol |
Fair Cooperative Multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CONCUR ![In: CONCUR 2007 - Concurrency Theory, 18th International Conference, CONCUR 2007, Lisbon, Portugal, September 3-8, 2007, Proceedings, pp. 272-286, 2007, Springer, 978-3-540-74406-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Xiaofeng Guo, Jinquan Dai, Long Li, Zhiyuan Lv, Prashant R. Chandra |
Latency hiding through multithreading on a network processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the 12th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2007, San Jose, California, USA, March 14-17, 2007, pp. 130-131, 2007, ACM, 978-1-59593-602-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
compiler, multicore, code motion, latency hiding |
17 | Costas Kyriacou, Paraskevas Evripidou, Pedro Trancoso |
Cacheflow: Cache Optimizations for Data Driven Multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Parallel Process. Lett. ![In: Parallel Process. Lett. 16(2), pp. 229-244, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Kai-Feng Wang, Zhenzhou Ji, Ming-Zeng Hu |
Simultaneous multithreading trace processors: Improving trace processors performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 30(2), pp. 102-116, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Toshinori Sato, Yuu Tanaka, Hidenori Sato, Toshimasa Funaki, Takenori Koushiro, Akihiro Chiyonobu |
Improving Energy Efficiency Via Speculative Multithreading on MultiCore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PATMOS ![In: Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 16th International Workshop, PATMOS 2006, Montpellier, France, September 13-15, 2006, Proceedings, pp. 553-562, 2006, Springer, 3-540-39094-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Michael Van Biesbrouck, Lieven Eeckhout, Brad Calder |
Considering all starting points for simultaneous multithreading simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: 2006 IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings, pp. 143-153, 2006, IEEE Computer Society, 1-4244-0186-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Guang R. Gao, Thomas L. Sterling, Rick L. Stevens, Mark Hereld, Weirong Zhu |
Hierarchical multithreading: programming model and system software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Arun Kejariwal, Hideki Saito 0001, Xinmin Tian, Milind Girkar, Wei Li 0015, Utpal Banerjee, Alexandru Nicolau, Constantine D. Polychronopoulos |
Lightweight lock-free synchronization methods for multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 20th Annual International Conference on Supercomputing, ICS 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006, pp. 361-371, 2006, ACM, 1-59593-282-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Jiayin Mao, Bo Song, Yongwei Wu, Guangwen Yang |
Overlapping Communication and Computation in MPI by Multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDPTA ![In: Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications & Conference on Real-Time Computing Systems and Applications, PDPTA 2006, Las Vegas, Nevada, USA, June 26-29, 2006, Volume 1, pp. 52-57, 2006, CSREA Press, 1-932415-86-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP BibTeX RDF |
|
17 | Angshuman Parashar, Anand Sivasubramaniam, Sudhanva Gurumurthi |
SlicK: slice-based locality exploitation for efficient redundant multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2006, San Jose, CA, USA, October 21-25, 2006, pp. 95-105, 2006, ACM, 1-59593-451-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
backward slice extraction, redundant threading, microarchitecture, transient faults |
17 | Abdennour El Rhalibi, Madjid Merabti, Yuanyuan Shen |
Improving Game Processing in Multithreading and Multiprocessor Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Edutainment ![In: Technologies for E-Learning and Digital Entertainment, First International Conference, Edutainment 2006, Hangzhou, China, April 16-19, 2006, Proceedings, pp. 669-679, 2006, Springer, 3-540-33423-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | J. L. Dou |
A compiler cost model for speculative multithreading chip-multiprocessor architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2006 |
RDF |
|
17 | Bernd Vettermann |
Implementierung algorithmischer Optimierungen für volume rendering in Hardware: Entwicklung und Simulation eines Multithreading-Pipeline-Prozessors zur Visualisierung dreidimensionaler Datensätze. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2006 |
RDF |
|
17 | Ramzi Basharahil, Brian Wims, Cheng-Zhong Xu 0001, Song Fu |
Distributed Shared Arrays: An Integration of Message Passing and Multithreading on SMP Clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 31(2), pp. 161-184, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Harry M. Mathis, Alex E. Mericas, John D. McCalpin, Richard J. Eickemeyer, Steven R. Kunkel |
Characterization of simultaneous multithreading (SMT) efficiency in POWER5. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IBM J. Res. Dev. ![In: IBM J. Res. Dev. 49(4-5), pp. 555-564, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Johan Andersson, Geoff Bache, Claes Verdoes |
Multithreading and Web Applications: Further Adventures in Acceptance Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
XP ![In: Extreme Programming and Agile Processes in Software Engineering, 6th International Conference, XP 2005, Sheffield, UK, June 18-23, 2005, Proceedings, pp. 210-213, 2005, Springer, 3-540-26277-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Stephan Suijkerbuijk, Ben H. H. Juurlink |
Implementing Hardware Multithreading in a VLIW Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IASTED PDCS ![In: International Conference on Parallel and Distributed Computing Systems, PDCS 2005, November 14-16, 2005, Phoenix, AZ, USA, pp. 674-679, 2005, IASTED/ACTA Press, 0-88986-525-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP BibTeX RDF |
|
17 | Chunhua Liao, Zhenying Liu, Lei Huang 0006, Barbara M. Chapman |
Evaluating OpenMP on Chip MultiThreading Platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWOMP ![In: OpenMP Shared Memory Parallel Programming - International Workshops, IWOMP 2005 and IWOMP 2006, Eugene, OR, USA, June 1-4, 2005, Reims, France, June 12-15, 2006. Proceedings, pp. 178-190, 2005, Springer, 978-3-540-68554-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Razali Jidin, David Andrews 0001, Wesley Peck, Dan Chirpich, Kevin Stout, John M. Gauch |
Evaluation of the Hybrid Multithreading Programming Model using Image Processing Transforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), CD-ROM / Abstracts Proceedings, 4-8 April 2005, Denver, CO, USA, 2005, IEEE Computer Society, 0-7695-2312-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Grace J. Hwang, Joseph Arul, Eric Lin, Chung-Yun Hung |
Design and Multithreading Implementation of the Wave-Front Algorithm for Constructing Voronoi Diagrams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICA3PP ![In: Distributed and Parallel Computing, 6th International Conference on Algorithms and Architectures for Parallel Processing, ICA3PP, Melbourne, Australia, October 2-3, 2005, Proceedings, pp. 257-266, 2005, Springer, 3-540-29235-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Eduardo Rocha Rodrigues, Airam Jonatas Preto, Stephan Stephany |
A new parallel environment for interactive simulations implementing safe multithreading with MPI. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBAC-PAD ![In: 17th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD 2005), 24-27 October 2005, Rio de Janeiro, Brazil, pp. 151-158, 2005, IEEE Computer Society, 0-7695-2446-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Long Li, Bo Huang 0002, Jinquan Dai, Luddy Harrison |
Automatic multithreading and multiprocessing of C programs for IXP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2005, June 15-17, 2005, Chicago, IL, USA, pp. 132-141, 2005, ACM, 1-59593-080-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
network processor, multi-threading, critical section, code motion, multi-processing |
17 | Patrick Schaumont, Bo-Cheng Charles Lai, Wei Qin, Ingrid Verbauwhede |
Cooperative multithreading on 3mbedded multiprocessor architectures enables energy-scalable design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 27-30, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Jingren Zhou, John Cieslewicz, Kenneth A. Ross, Mihir Shah |
Improving Database Performance on Simultaneous Multithreading Processors. (PDF / PS) ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLDB ![In: Proceedings of the 31st International Conference on Very Large Data Bases, Trondheim, Norway, August 30 - September 2, 2005, pp. 49-60, 2005, ACM, 1-59593-154-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP BibTeX RDF |
|
17 | Justin T. Maris, Aaron W. Keen, Takashi Ishihara, Ronald A. Olsson |
A comparison of concurrent programming and cooperative multithreading under load balancing applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Concurr. Comput. Pract. Exp. ![In: Concurr. Comput. Pract. Exp. 16(4), pp. 345-369, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Chulho Shin, Seong-Won Lee, Jean-Luc Gaudiot |
The need for adaptive dynamic thread scheduling in simultaneous multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Parallel Process. Lett. ![In: Parallel Process. Lett. 14(3-4), pp. 327-335, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Jörg Keller 0001, Olaf Monien |
Improving http-server performance by adapted multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Parallel and Distributed Computing and Networks ![In: Proceedings of the IASTED International Conference on Parallel and Distributed Computing and Networks, Innsbruck, Austria, February 17-19, 2004, pp. 631-636, 2004, IASTED/ACTA Press. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP BibTeX RDF |
|
17 | Xiaomin Chen, Wenjun Shi, Wen Sheng |
Application of multithreading in virtual digital storage oscilloscope development. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICARCV ![In: 8th International Conference on Control, Automation, Robotics and Vision, ICARCV 2004, Kunming, China, 6-9 December 2004, Proceedings, pp. 504-508, 2004, IEEE, 0-7803-8653-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Muhammad Shaaban, Jennifer Zenner |
Parallel Implementation of an MPEG-2 Encoder using Message-Passing/Multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDCS ![In: Proceedings of the ISCA 17th International Conference on Parallel and Distributed Computing Systems, September 15-17, 2004, The Canterbury Hotel, San Francisco, California, USA, pp. 397-402, 2004, ISCA, 1-880843-52-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP BibTeX RDF |
|
17 | Joshua L. Kihm, Andrew Janiszewski, Daniel A. Connors |
Predictable Fine-Grained Cache Behavior for Enhanced Simultaneous Multithreading (SMT) Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCCT (1) ![In: Proceedings of the 2nd International Conference Computing, Communications and Control Technologies, CCCT 2004, Austin, TX, USA, August 14-17, 2004, Volume 1., pp. 405-409, 2004, The International Institute of Informatics and Systemics (IIIS). The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP BibTeX RDF |
|
17 | Tomasz Madajczak |
An Optimal Abstraction Model for Hardware Multithreading in Modern Processor Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARELEC ![In: 2004 International Conference on Parallel Computing in Electrical Engineering (PARELEC 2004), 7-10 September 2004, Dresden, Germany, pp. 71-76, 2004, IEEE Computer Society, 0-7695-2080-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Vasco Thudichum Vasconcelos, António Ravara, Simon J. Gay |
Session Types for Functional Multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CONCUR ![In: CONCUR 2004 - Concurrency Theory, 15th International Conference, London, UK, August 31 - September 3, 2004, Proceedings, pp. 497-511, 2004, Springer, 3-540-22940-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
static typechecking, specification of communication protocols, concurrent programming, Session types |
17 | Jin Hwan Park |
Multithreading for Degree Controllable Parallel String Pattern Matching with k-Mismatches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDPTA ![In: Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, PDPTA '04, June 21-24, 2004, Las Vegas, Nevada, USA, Volume 2, pp. 623-629, 2004, CSREA Press, 1-892512-24-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP BibTeX RDF |
|
17 | Jose Renau |
Chip Multiprocessors With Speculative Multithreading: Design for Performance and Energy Efficiency ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2004 |
RDF |
|
17 | Aaron W. Keen, Takashi Ishihara, Justin T. Maris, Tiejun Li, Eugene F. Fodor, Ronald A. Olsson |
A comparison of concurrent programming and cooperative multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Concurr. Comput. Pract. Exp. ![In: Concurr. Comput. Pract. Exp. 15(1), pp. 27-53, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
17 | Ravi Rajwar, James R. Goodman |
Transactional Execution: Toward Reliable, High-Performance Multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 23(6), pp. 117-125, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
17 | Chris Payne |
.NET Windows Forms in 21 Tagen - Oberflächen programmieren, ADO.NET, ActiveX, Multithreading, Web Services, die .NET-Klassenbibliothek. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2003 |
RDF |
|
17 | Seong-Won Lee, Jean-Luc Gaudiot |
Clustered Microarchitecture Simultaneous Multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2003. Parallel Processing, 9th International Euro-Par Conference, Klagenfurt, Austria, August 26-29, 2003. Proceedings, pp. 576-585, 2003, Springer, 3-540-40788-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
17 | Wolfgang Blochinger, Wolfgang Küchlin |
The Design of an API for Strict Multithreading in C++. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2003. Parallel Processing, 9th International Euro-Par Conference, Klagenfurt, Austria, August 26-29, 2003. Proceedings, pp. 722-731, 2003, Springer, 3-540-40788-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
17 | Litong Song, Yuhua Zhang, Krishna M. Kavi |
Loop Transformation Techniques To Aid In Loop Unrolling and Multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDCS ![In: Proceedings of the ISCA 16th International Conference on Parallel and Distributed Computing Systems, August 13-15, 2003, Atlantis Hotel, Reno, Nevada, USA, pp. 126-131, 2003, ISCA, 1-880843-48-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
17 | Dan Grossman |
Type-safe multithreading in cyclone. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TLDI ![In: Proceedings of TLDI'03: 2003 ACM SIGPLAN International Workshop on Types in Languages Design and Implementation, New Orleans, Louisiana, USA, January 18, 2003, pp. 13-25, 2003, ACM, 1-58113-649-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
types, data races, cyclone |
17 | Yuan Liu, Baowen Xu |
ACP model of Java multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IRI ![In: Proceedings of the 2003 IEEE International Conference on Information Reuse and Integration, IRI - 2003, October 27-29, 2003, Las Vegas, NV, USA, pp. 513-518, 2003, IEEE Systems, Man, and Cybernetics Society. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
17 | Rangsipan Marukatat |
Clustered multithreading for speculative execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2003 |
RDF |
|
17 | Kanemitsu Ootsu, Takafumi Ono, Takashi Yokota, Takanobu Baba |
A Methodology of Binary-level Multithreading and Its Preliminary Evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IASTED PDCS ![In: International Conference on Parallel and Distributed Computing Systems, PDCS 2002, November 4-6, 2002, Cambridge, USA, pp. 792-797, 2002, IASTED/ACTA Press, 0-88986-366-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP BibTeX RDF |
|
17 | Marcelo H. Cintra, Josep Torrellas |
Speculative Multithreading Eliminating Squashes through Learning Cross-Thread Violations in Speculative Parallelization for Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Eighth International Symposium on High-Performance Computer Architecture (HPCA'02), Boston, Massachusettes, USA, February 2-6, 2002, pp. 43-54, 2002, IEEE Computer Society, 0-7695-1525-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Shared-Memory Multiprocessors, Speculative Parallelization |
17 | Erika Ábrahám-Mumm, Frank S. de Boer, Willem P. de Roever, Martin Steffen |
Verification for Java's Reentrant Multithreading Concept. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FoSSaCS ![In: Foundations of Software Science and Computation Structures, 5th International Conference, FOSSACS 2002. Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2002 Grenoble, France, April 8-12, 2002, Proceedings, pp. 5-20, 2002, Springer, 3-540-43366-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
17 | Takashi Ishihara, Aaron W. Keen, Justin T. Maris, Eric Wohlstadter, Ronald A. Olsson |
CoW: A Cooperative Multithreading Web Server. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDPTA ![In: Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, PDPTA '02, June 24 - 27, 2002, Las Vegas, Nevada, USA, Volume 2, pp. 991-996, 2002, CSREA Press, 1-892512-88-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP BibTeX RDF |
|
17 | Albano Agostinho Gomes Alves, António Pina, José Luís Padrão Exposto, José Rufino |
Scalable Multithreading in a Low Latency Myrinet Cluster. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VECPAR ![In: High Performance Computing for Computational Science - VECPAR 2002, 5th International Conference, Porto, Portugal, June 26-28, 2002, Selected Papers and Invited Talks, pp. 579-592, 2002, Springer, 3-540-00852-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
17 | T. N. Vijaykumar, Irith Pomeranz, Karl Cheng |
Transient-Fault Recovery Using Simultaneous Multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 29th International Symposium on Computer Architecture (ISCA 2002), 25-29 May 2002, Anchorage, AK, USA, pp. 87-98, 2002, IEEE Computer Society, 0-7695-1605-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
17 | Andreas Grävinghoff |
On the realization of fine grained multithreading in software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2002 |
RDF |
|
17 | Alexander Metzner, Jürgen Niehaus |
MSparc: Multithreading in Real-Time Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Univers. Comput. Sci. ![In: J. Univers. Comput. Sci. 6(10), pp. 1034-1051, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Kristof Beyls, Erik H. D'Hollander |
Compiler Generated Multithreading to Alleviate Memory Latency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Univers. Comput. Sci. ![In: J. Univers. Comput. Sci. 6(10), pp. 968-993, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Laurent Lefèvre, Olivier Reymann |
Combining low-latency communication protocols with multithreading for high performance DSM systems on clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: Eight Euromicro Workshop on Parallel and Distributed Processing, PDP 2000, 19-12 January 2000, Rhodos, Greece, pp. 333-340, 2000, IEEE Computer Society, 0-7695-0500-7. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Ralf Sascha Engelschall |
Portable Multithreading-The Signal Stack Trick for User-Space Thread Creation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
USENIX Annual Technical Conference, General Track ![In: Proceedings of the General Track: 2000 USENIX Annual Technical Conference, June 18-23, 2000, San Diego, CA, USA, pp. 239-250, 2000, USENIX, 1-880446-22-7. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP BibTeX RDF |
|
17 | Peter H. Welch, Jeremy M. R. Martin |
A CSP Model for Java Multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDSE ![In: International Symposium on Software Engineering for Parallel and Distributed Systems, PDSE 2000, Limerick, Ireland, June 10-11, 2000, pp. 114-122, 2000, IEEE Computer Society, 0-7695-0634-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
race hazard, JCSP, security, Java, model checking, verification, monitors, CSP, deadlock, threads, FDR, livelock |
17 | Zhihui Du, Sanli Li, Peizhi Shi |
Multithreading Compilation for HPF Program Based on SMP Cluster System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDPTA ![In: Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, PDPTA 2000, June 24-29, 2000, Las Vegas, Nevada, USA, 2000, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP BibTeX RDF |
|
17 | Kevin B. Theobald, Gagan Agrawal, Rishi Kumar, Gerd Heber, Guang R. Gao, Paul Stodghill, Keshav Pingali |
Landing CG on EARTH: A Case Study of Fine-Grained Multithreading on an Evolutionary Path. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings Supercomputing 2000, November 4-10, 2000, Dallas, Texas, USA. IEEE Computer Society, CD-ROM, pp. 4, 2000, IEEE Computer Society, 0-7803-9802-5. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Steven K. Reinhardt, Shubhendu S. Mukherjee |
Transient fault detection via simultaneous multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 27th International Symposium on Computer Architecture (ISCA 2000), June 10-14, 2000, Vancouver, BC, Canada, pp. 25-36, 2000, IEEE Computer Society, 978-1-58113-232-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Eric Debes, Fulvio Moschetti |
Multithreading for video processing applications running on PC workstations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUSIPCO ![In: 10th European Signal Processing Conference, EUSIPCO 2000, Tampere, Finland, September 4-8, 2000, pp. 1-4, 2000, IEEE, 978-952-1504-43-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP BibTeX RDF |
|
17 | Marc Torrant, Muhammad Shaaban, Roy Czernikowski, Kenneth W. Hsu |
A simultaneous multithreading simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGARCH Comput. Archit. News ![In: SIGARCH Comput. Archit. News 27(5), pp. 1-5, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
17 | Jack L. Lo, Susan J. Eggers, Henry M. Levy, Sujay S. Parekh, Dean M. Tullsen |
Tuning Compiler Optimizations for Simultaneous Multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 27(6), pp. 477-503, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
17 | Stephen W. Keckler, Andrew Chang 0001, Whay Sing Lee, Sandeep Chatterjee, William J. Dally |
Concurrent Event Handling through Multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 48(9), pp. 903-916, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
17 | Balaram Sinharoy |
Compiler optimization to improve data locality for processor multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sci. Program. ![In: Sci. Program. 7(1), pp. 21-37, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
17 | Craig B. Zilles, Joel S. Emer, Gurindar S. Sohi |
The Use of Multithreading for Exception Handling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 32nd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 32, Haifa, Israel, November 16-18, 1999, pp. 219-229, 1999, ACM/IEEE Computer Society, 0-7695-0437-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
17 | Sébastien Hily, André Seznec |
Out-of-Order Execution may not be Cost-Effective on Processors Featuring Simultaneous Multithreading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Fifth International Symposium on High-Performance Computer Architecture, Orlando, FL, USA, January 9-12, 1999, pp. 64-67, 1999, IEEE Computer Society, 0-7695-0004-8. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
17 | Dean M. Tullsen, Jack L. Lo, Susan J. Eggers, Henry M. Levy |
Supporting Fine-Grained Synchronization on a Simultaneous Multithreading Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Fifth International Symposium on High-Performance Computer Architecture, Orlando, FL, USA, January 9-12, 1999, pp. 54-58, 1999, IEEE Computer Society, 0-7695-0004-8. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|