The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Nanotechnology with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1987-1995 (37) 1996 (40) 1998-2001 (17) 2002-2003 (36) 2004 (43) 2005 (48) 2006 (117) 2007 (72) 2008 (48) 2009 (42) 2010 (31) 2011 (93) 2012 (66) 2013 (78) 2014 (73) 2015 (71) 2016 (54) 2017 (62) 2018 (35) 2019 (48) 2020 (48) 2021 (50) 2022 (41) 2023 (56) 2024 (2)
Publication types (Num. hits)
article(338) book(5) incollection(8) inproceedings(929) phdthesis(11) proceedings(17)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 452 occurrences of 271 keywords

Results
Found 1308 publication records. Showing 1308 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Savas Tasoglu, H. Cumhur Tekin, Fatih Inci, Stephanie Knowlton, Shu Qi Wang, Feng Wang-Johanning, Gary Johanning, Dimitrios Colevas, Utkan Demirci Advances in Nanotechnology and Microfluidics for Human Papillomavirus Diagnostics. Search on Bibsonomy Proc. IEEE The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Veikko Ikonen, Eija Kaasinen, Päivi Heikkilä, Marketta Niemelä Human-driven design of micro- and nanotechnology based future sensor systems. Search on Bibsonomy J. Inf. Commun. Ethics Soc. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Matthew Paul Mount, Simon Milewski, Kiran Fernandes 0001 Exploring the knowledge complexities of innovation intermediaries: the case of nanotechnology in the UK. Search on Bibsonomy Int. J. Technol. Manag. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Tim Liedl Nanotechnology: Pathfinder for DNA constructs. Search on Bibsonomy Nat. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17K. Sridharan 0001, Vikram Pudi Design of Arithmetic Circuits in Quantum Dot Cellular Automata Nanotechnology Search on Bibsonomy 2015   DOI  RDF
17Nuha Zamzami, Andrea Schiffauerova Evaluating the science-technology interaction in nanotechnology: a simulation-based study. Search on Bibsonomy WSC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Maria Karaulova, Oliver Shackleton, Abdullah Gök, Philip Shapira Nanotechnology Research in Post-Soviet Russia: Science System Path-Dependencies and their Influences. Search on Bibsonomy ISSI The full citation details ... 2015 DBLP  BibTeX  RDF
17Hamid R. Darvish, Yasar Tonta The Network Structure of Nanotechnology Research Output of Turkey: A Co-authorship and Co-word Analysis Study. Search on Bibsonomy ISSI The full citation details ... 2015 DBLP  BibTeX  RDF
17Hamid R. Darvish, Yasar Tonta The Diffusion of Nanotechnology Knowledge in Turkey. Search on Bibsonomy ISSI The full citation details ... 2015 DBLP  BibTeX  RDF
17Mangilal Agarwal, Qurat-ul-Ann Mirza, Joseph Bondi, Brandon Sorge, Maher E. Rizkalla, Richard Ward, Corbin Feldhaus, Amy Hinshaw, Kody Varahramyan Nanotechnology STEM program via research experience for high school teachers. Search on Bibsonomy FIE The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Maher E. Rizkalla, Mangilal Agarwal Preparing ECE students for research career in nanotechnology via track program. Search on Bibsonomy FIE The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17James E. Morris Nanotechnology: Simulation and design. Search on Bibsonomy FIE The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFTS 2015, Amherst, MA, USA, October 12-14, 2015 Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  BibTeX  RDF
17Shashank Sundriyal, V. Ramola, P. Lakhera, Poornima Mittal, Brijesh Kumar Performance Comparison Between InP-ON and SON MOSFET at Different Nanotechnology Nodes Using 2-D Numerical Device Simulation. Search on Bibsonomy SocProS (2) The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Jonathan Barone, Colin Bayer, Rowan Copley, Nova Barlow, Matthew Burns, Sundipta Rao, Georg Seelig, Zoran Popovic, Seth Cooper Nanocrafter: Design and Evaluation of a DNA Nanotechnology Game. Search on Bibsonomy FDG The full citation details ... 2015 DBLP  BibTeX  RDF
17Asiia Sadykova Today's key global trends in engineering education: Preparing freshmen for nanotechnology. Search on Bibsonomy ICL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Núbia Rosa da Silva Heterogeneous pattern recognition and its applications in biology and nanotechnology (Reconhecimento de padrões heterogêneos e suas aplicações em biologia e nanotecnologia). Search on Bibsonomy 2015   RDF
17Alirad Malek, Stavros Tzilis, Danish Anis Khan, Ioannis Sourdis, Georgios Smaragdos, Christos Strydis Reducing the performance overhead of resilient CMPs with substitutable resources. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Senwen Kan, Marco Ottavi, Jennifer Dworak Enhancing embedded SRAM security and error tolerance with hardware CRC and obfuscation. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Gustavo K. Contreras, Nisar Ahmed, LeRoy Winemberg, Mark M. Tehranipoor Predictive LBIST model and partial ATPG for seed extraction. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Puneet Ramesh Savanur, Phaninder Alladi, Spyros Tragoudas A BIST approach for counterfeit circuit detection based on NBTI degradation. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Asad Amin Bawa, Nur A. Touba Improving X-tolerant combinational output compaction via input rotation. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Zhixi Yang, Jie Han 0001, Fabrizio Lombardi Approximate compressors for error-resilient multiplier design. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Hyunseung Han, Joon-Sung Yang Asymmetric ECC organization in 3D-memory via spare column utilization. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Shuai Chen, Junlin Chen, Domenic Forte, Jia Di, Mark M. Tehranipoor, Lei Wang 0003 Chip-level anti-reverse engineering using transformable interconnects. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Salin Junsangsri, Fabrizio Lombardi, Jie Han 0001 Evaluating the impact of spike and flicker noise in phase change memories. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Daniele Felici, Sandro Bonacini, Marco Ottavi Characterization of low power radiation-hard reed-solomon code protected serializers in 65-nm for HEP experiments electronics. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Sami Teravainen, Mohammad Hashem Haghbayan, Amir-Mohammad Rahmani, Pasi Liljeberg, Hannu Tenhunen Software-based on-chip thermal sensor calibration for DVFS-enabled many-core systems. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Sk Subidh Ali, Ozgur Sinanoglu Scan attack on Elliptic Curve Cryptosystem. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Mohammad Hashem Haghbayan, Sami Teravainen, Amir-Mohammad Rahmani, Pasi Liljeberg, Hannu Tenhunen Adaptive fault simulation on many-core microprocessor systems. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Nicolas Borrel, Clement Champeix, Edith Kussener, Wenceslas Rahajandraibe, Mathieu Lisart, Alexandre Sarafianos, Jean-Max Dutertre Influence of triple-well technology on laser fault injection and laser sensor efficiency. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Jerry Backer, David Hély, Ramesh Karri On enhancing the debug architecture of a system-on-chip (SoC) to detect software attacks. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Junlin Chen, Lei Wang 0003 Low-power LDPC decoder design exploiting memory error statistics. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Jimson Mathew, Yuamfam Yang, M. Ottavia, T. Browna, A. Zampettia, A. Di Carloa, A. M. Jabirb, Dhiraj K. Pradhan Fault detection and repair of DSC arrays through memristor sensing. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Mani Soma On-line detection of intermittent faults in digital-to-analog converters. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Cagatay Ozmen, Aydin Dirican, Nurettin Tan, Hieu Nguyen, Martin Margala A CMOS ripple detector for integrated voltage regulator testing. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Clement Champeix, Nicolas Borrel, Jean-Max Dutertre, Bruno Robisson, Mathieu Lisart, Alexandre Sarafianos SEU sensitivity and modeling using pico-second pulsed laser stimulation of a D Flip-Flop in 40 nm CMOS technology. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Pedro Reviriego, Salvatore Pontarelli, Juan Antonio Maestro, Marco Ottavi A method to protect Bloom filters from soft errors. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Mehran Mozaffari Kermani, Reza Azarderakhsh Reliable hash trees for post-quantum stateless cryptographic hash-based signatures. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Michael Opoku Agyeman, Kin-Fai Tong, Terrence S. T. Mak Towards reliability and performance-aware Wireless Network-on-Chip design. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Cristiana Bolchini, Luca Cassano A configurable board-level adaptive incremental diagnosis technique based on decision trees. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Jyothish Soman, Negar Miralaei, Alan Mycroft, Timothy M. Jones 0001 REPAIR: Hard-error recovery via re-execution. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Tengteng Zhang, D. M. H. Walker Impact of test compression on power supply noise control. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Glenn H. Chapman, Rahul Thomas, Rohan Thomas, Klinsmann J. Coelho Silva Meneses, Tommy Q. Yang, Israel Koren, Zahava Koren Single Event Upsets and Hot Pixels in digital imagers. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Seyyed Hasan Mozafari, Brett H. Meyer Hot spare components for performance-cost improvement in multi-core SIMT. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Manolis Kaliorakis, Sotiris Tselonis, Athanasios Chatzidimitriou, Dimitris Gizopoulos Accelerated microarchitectural Fault Injection-based reliability assessment. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Diego G. Rodrigues, Ghazaleh Nazarian, Álvaro F. Moreira, Luigi Carro, Georgi Gaydadjiev A non-conservative software-based approach for detecting illegal CFEs caused by transient faults. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Bahar J. Farahani, Saeed Safari A cross-layer approach to online adaptive reliability prediction of transient faults. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Felipe Rosa 0001, Fernanda Lima Kastensmidt, Ricardo Reis 0001, Luciano Ost A fast and scalable fault injection framework to evaluate multi/many-core soft error reliability. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Adedotun A. Adeyemo, Jimson Mathew, Abusaleh M. Jabir, Dhiraj K. Pradhan Exploring error-tolerant low-power multiple-output read scheme for memristor-based memory arrays. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Alexander Schöll, Claus Braun, Michael A. Kochte, Hans-Joachim Wunderlich Low-overhead fault-tolerance for the preconditioned conjugate gradient solver. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Kamran Saleem, Sreenivaas S. Muthyala, Nur A. Touba Compacting output responses containing unknowns using an embedded processor. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Badrun Nahar 0001, Brett H. Meyer RotR: Rotational redundant task mapping for fail-operational MPSoCs. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Ignacio Herrera-Alzu, Marisa López-Vallejo, C. Gil Soriano A Dual-Layer Fault Manager for systems based on Xilinx Virtex FPGAs. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Muralidharan Venkatasubramanian, Vishwani D. Agrawal, James J. Janaher Quest for a quantum search algorithm for testing stuck-at faults in digital circuits. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Irith Pomeranz Piecewise-functional broadside tests based on intersections of reachable states. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Tomohiro Yoneda, Masashi Imai, Hiroshi Saito, Kenji Kise Dependable real-time task execution scheme for a many-core platform. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Muhammad Yasin, Bodhisatwa Mazumdar, Sk Subidh Ali, Ozgur Sinanoglu Security analysis of logic encryption against the most effective side-channel attack: DPA. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Abdulaziz Eker, Oguz Ergin Using value similarity of registers for soft error mitigation. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Mohammad Raashid Ansari, Shucheng Yu, Qiaoyan Yu IntelliCAN: Attack-resilient Controller Area Network (CAN) for secure automobiles. Search on Bibsonomy DFTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Jan L. Youtie, Luciano Kay Acquiring nanotechnology capabilities: role of mergers and acquisitions. Search on Bibsonomy Technol. Anal. Strateg. Manag. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Csaba Andras Moritz, Santosh Khasanvis, Pritish Narayanan Introduction to JPDC special issue on computing with future nanotechnology. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Gert W. Wolf Knowledge diffusion from GIScience to other fields: the example of the usage of weighted surface networks in nanotechnology. Search on Bibsonomy Int. J. Geogr. Inf. Sci. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Xianwen Wang, Shenmeng Xu, Di Liu, Yongxia Liang The Role of Chinese-American Scientists in China-US Scientific Collaboration: A Study in Nanotechnology. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
17Hajar Sotudeh, Nahid Khoshian Gender, web presence and scientific productivity in nanoscience and nanotechnology. Search on Bibsonomy Scientometrics The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17T. Gorjiara, Clive Baldock Nanoscience and nanotechnology research publications: a comparison between Australia and the rest of the world. Search on Bibsonomy Scientometrics The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Jia Zheng 0007, Zhi-yun Zhao, Xu Zhang 0018, Dar-Zen Chen, Mu-Hsuan Huang International collaboration development in nanotechnology: a perspective of patent network analysis. Search on Bibsonomy Scientometrics The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Douglas Henrique Milanez, Leandro Innocentini Lopes de Faria, Roniberto Morato do Amaral, Daniel Rodrigo Leiva, José Angelo Rodrigues Gregolin Patents in nanotechnology: an analysis using macro-indicators and forecasting curves. Search on Bibsonomy Scientometrics The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Enrico M. Bucci, O. M. Bucci, Roberto Sorrentino Nanotechnology and Life: An Engineer's Perspective. Search on Bibsonomy Proc. IEEE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Karl D. Stephan Nanotechnology: Ethical and Social Implications [Book Review]. Search on Bibsonomy IEEE Technol. Soc. Mag. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Konrad J. Schönborn, Gunnar E. Höst, Karljohan E. Lundin Palmerius, Jennifer Flint Development of an Interactive Immersion Environment for Engendering Understanding about Nanotechnology: Concept, Construction, and Implementation. Search on Bibsonomy Int. J. Virtual Pers. Learn. Environ. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17 Nanotechnology: DNA robots work in a live cockroach. Search on Bibsonomy Nat. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Thao T. Le, Christopher J. Johnson, Jakub Trzebinski, Anthony E. G. Cass Biosensor Design with Molecular Engineering and Nanotechnology. Search on Bibsonomy Body Sensor Networks The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Benjamin Schrempf, Petra Ahrweiler Modelling the Emergence of a General Purpose Technology from a Knowledge Based Perspective: The Case of Nanotechnology. Search on Bibsonomy Simulating Knowledge Dynamics in Innovation Networks The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Omar Kebichi Design, manufacturing & test of integrated circuits in the nanotechnology era. Search on Bibsonomy IDT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Norma Alias, Noriza Satam, Mohd Shahizan Othman, Che Rahim Che Teh, Maizatul Nadirah Mustaffa, Hafizah Farhah Saipan Saipol High Performance Nanotechnology Software (HPNS) for Parameter Characterization of Nanowire Fabrication and Nanochip System. Search on Bibsonomy SoMeT (Selected Papers) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Josip Turkovic Nanotechnology, from the recent history to (un)predictable future. Search on Bibsonomy MIPRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Mark S. Lundstrom NEEDS: Moving nanoscience to nanotechnology. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Oguz Hanoglu, Kelsey Joy Rodgers, Yi Kong, Krishna Madhavan, Heidi A. Diefes-Dux First-year engineering students' self-reported knowledge of nanotechnology - The development of a coding scheme. Search on Bibsonomy FIE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Oguz Hanoglu, Kerrie Anna Douglas, Krishna Madhavan, Heidi A. Diefes-Dux First-year engineering students' nanotechnology awareness, exposure and motivation before and after educational interventions. Search on Bibsonomy FIE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Naser Khosravi, Mohsen Sadeghi The marketing strategy for successful product development performance in Iranian nanotechnology-based enterprises. Search on Bibsonomy IEEM The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2014, Amsterdam, The Netherlands, October 1-3, 2014 Search on Bibsonomy DFT The full citation details ... 2014 DBLP  BibTeX  RDF
17Zhihua Chen, Xiaoli Qiang, Kai Zhang 0002 Molecular Model for Information Addressing and Transporting Based on DNA Nanotechnology. Search on Bibsonomy BIC-TA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Ashkan Eghbal, Pooria M. Yaghini, Siavash S. Yazdi, Nader Bagherzadeh TSV-to-TSV inductive coupling-aware coding scheme for 3D Network-on-Chip. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Stavros Tzilis, Ioannis Sourdis A runtime manager for gracefully degrading SoCs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Swapnil Bahl, Shreyans Rungta, Shray Khullar, Rohit Kapur, Anshuman Chandra, Salvatore Talluto 0001, Pramod Notiyath, Ajay Rajagopalan Unifying scan compression. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Jimson Mathew, Marco Ottavi, Yunfan Yang, Dhiraj K. Pradhan Using memristor state change behavior to identify faults in photovoltaic arrays. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Cristiana Bolchini, Luca Cassano Machine learning-based techniques for incremental functional diagnosis: A comparative analysis. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Mihalis Psarakis, Alexandros Vavousis, Cristiana Bolchini, Antonio Miele Design and implementation of a self-healing processor on SRAM-based FPGAs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Jerry Backer, David Hély, Ramesh Karri Reusing the IEEE 1500 design for test infrastructure for security monitoring of Systems-on-Chip. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Thiago Berticelli Lo, Fernanda Lima Kastensmidt, Antonio Carlos Schneider Beck Towards an adaptable bit-width NMR voter for multiple error masking. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Mario Schölzel, Tobias Koal, Heinrich Theodor Vierhaus Diagnostic self-test for dynamically scheduled superscalar processors based on reconfiguration techniques for handling permanent faults. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Tsuyoshi Iwagaki, Tatsuya Nakaso, Ryoko Ohkubo, Hideyuki Ichihara, Tomoo Inoue Scheduling algorithm in datapath synthesis for long duration transient fault tolerance. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17In-Seok Jung, Yong-Bin Kim A 12-bit 32MS/s SAR ADC using built-in self calibration technique to minimize capacitor mismatch. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Domenico G. Sorrenti, Dario Cozzi, Sebastian Korf, Luca Cassano, Jens Hagemeyer, Mario Porrmann, Cinzia Bernardeschi Exploiting dynamic partial reconfiguration for on-line on-demand testing of permanent faults in reconfigurable systems. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Lucas A. Tambara, Fernanda Lima Kastensmidt, Paolo Rech, Christopher Frost 0002 Decreasing FIT with diverse triple modular redundancy in SRAM-based FPGAs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Stefano Di Carlo, Paolo Prinetto, Daniele Rolfo, Pascal Trotta A fault injection methodology and infrastructure for fast single event upsets emulation on Xilinx SRAM-based FPGAs. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Cristian Constantinescu, Srini Krishnamoorthy, Tuyen Nguyen Estimating the effect of single-event upsets on microprocessors. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Wisam Aljubouri, Ahish Mysore Somashekar, Themistoklis Haniotakis, Spyros Tragoudas Diagnosis of segment delay defects with current sensing. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Michael A. Skitsas, Chrysostomos Nicopoulos, Maria K. Michael Exploration of system availability during software-based self-testing in many-core systems under test latency constraints. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 1308 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license