The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Prefetch with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1978-1992 (15) 1993-1994 (17) 1995-1996 (23) 1997 (18) 1998-1999 (31) 2000 (23) 2001 (30) 2002 (37) 2003 (28) 2004 (53) 2005 (47) 2006 (28) 2007 (43) 2008 (31) 2009 (23) 2010 (15) 2011-2012 (26) 2013-2015 (17) 2016-2018 (19) 2019-2020 (21) 2021-2022 (18) 2023-2024 (12)
Publication types (Num. hits)
article(139) inproceedings(434) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 568 occurrences of 320 keywords

Results
Found 575 publication records. Showing 575 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10Nor Jaidi Tuah, Mohan Kumar, Svetha Venkatesh, Sajal K. Das 0001 Performance Optimization Problem in Speculative Prefetching. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Speculative prefetching, caching
10Yücel Saygin, Özgür Ulusoy Exploiting Data Mining Techniques for Broadcasting Data in Mobile Computing Environments. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF broadcast histories, broadcast organization, data mining, prefetching, mobile databases, Broadcast disks
10Naoharu Yamada, Ryong Lee, Yahiko Kambayashi, Hiroki Takakura Classification of Web Pages with Geographic Scope and Level of Details for Mobile Cache Management. Search on Bibsonomy WISE Workshops The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Gautham K. Dorai, Donald Yeung Transparent Threads: Resource Sharing in SMT Processors for High Single-Thread Performance. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Wei Jin, Rakesh D. Barve, Kishor S. Trivedi A Simple Characterization of Provably Efficient Prefetching Algorithms. Search on Bibsonomy DSN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Davide Rizzo, Osvaldo Colavin A Video Compression Case Study on a Reconfigurable VLIW Architecture. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Nicolas Durand 0001, Luigi Lancieri Study of the Regularity of the Users' Internet Accesses. Search on Bibsonomy IDEAL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Gokul B. Kandiraju, Anand Sivasubramaniam Characterizing the d-TLB behavior of SPEC CPU2000 benchmarks. Search on Bibsonomy SIGMETRICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Shimin Chen, Phillip B. Gibbons, Todd C. Mowry, Gary Valentin Fractal prefetching B±Trees: optimizing both cache and disk performance. Search on Bibsonomy SIGMOD Conference The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Jochen Hollmann, Anders Ardö, Per Stenström Empirical Observations Regarding Predictability in User Access-Behavior in a Distributed Digital Library System. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Yen-Kuang Chen, Rainer Lienhart, Eric Debes, Matthew J. Holliman, Minerva M. Yeung The Impact of SMT/SMP Designs on Multimedia Software Engineering - A Workload Analysis Study. Search on Bibsonomy ISMSE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Youfeng Wu Efficient Discovery of Regular Stride Patterns in Irregular Programs. Search on Bibsonomy PLDI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF integrated stride and frequency profiling, phased multi-strided loads, strongly single-strided loads, performance evaluation, data prefetching
10Chi-Keung Luk, Robert Muth, Harish Patil, Richard Weiss 0001, P. Geoffrey Lowney, Robert S. Cohn Profile-guided post-link stride prefetching. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF address strides, post-link optimizations, profiling, data prefetching, memory latency
10Jih-Kwon Peir, Shih-Chang Lai, Shih-Lien Lu, Jared Stark, Konrad Lai Bloom filtering cache misses for accurate data speculation and prefetching. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF bloom filter, instruction scheduling, data cache, data prefetching, data speculation
10Yen-Kuang Chen, Eric Debes, Rainer Lienhart, Matthew J. Holliman, Minerva M. Yeung Evaluating and Improving Performance of Multimedia Applications on Simultaneous Multi-Threading. Search on Bibsonomy ICPADS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Chia-Lin Yang, Alvin R. Lebeck A Programmable Memory Hierarchy for Prefetching Linked Data Structures. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Jinwoo Kim, Krishna V. Palem, Weng-Fai Wong A Framework for Data Prefetching Using Off-Line Training of Markovian Predictors. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Massimiliano Curcio, Stefano Leonardi 0001, Andrea Vitaletti An Experimental Study of Prefetching and Caching Algorithms for the World Wide Web. Search on Bibsonomy ALENEX The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Yen-Kuang Chen, Wen-Hsiao Peng Implementation of Real-Time MPEG-4 FGS Encoder. Search on Bibsonomy IEEE Pacific Rim Conference on Multimedia The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Wan-Chun Ma, Chia-Lin Yang Using Intel Streaming SIMD Extensions for 3D Geometry Processing. Search on Bibsonomy IEEE Pacific Rim Conference on Multimedia The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Yan Solihin, Josep Torrellas, Jaejin Lee Using a User-Level Memory Thread for Correlation Prefetching. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF intelligent memory, correlation prefetching, caches, computer architecture, memory hierarchies, threads, data prefetching, processing-in-memory
10Wei-Fen Lin, Steven K. Reinhardt, Doug Burger Designing a Modern Memory Hierarchy with Hardware Prefetching. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Rambus DRAM, caches, Prefetching, memory bandwidth, spatial locality, memory system design
10Sanguthevar Rajasekaran A Framework for Simple Sorting Algorithms on Parallel Disk Systems. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Chiara Nottegar, Corrado Priami, Pierpaolo Degano Performance Evaluation of Mobile Processes via Abstract Machines. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Calculi for mobility, enhanced operational semantics, formal methodology, performance evaluation, stochastic models
10Qiang Yang 0001, Ian Tian-Yi Li, Henry Haining Zhang Mining High-Quality Cases for Hypertext Prediction and Prefetching. Search on Bibsonomy ICCBR The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Viji Srinivasan, Edward S. Davidson, Gary S. Tyson, Mark J. Charney, Thomas R. Puzak Branch History Guided Instruction Prefetching. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Wei-Fen Lin, Steven K. Reinhardt, Doug Burger Reducing DRAM Latencies with an Integrated Memory Hierarchy Design. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10David A. Hutchinson, Peter Sanders 0001, Jeffrey Scott Vitter Duality between Prefetching and Queued Writing with Parallel Disks. Search on Bibsonomy ESA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Yoo-Sung Kim, Ki-Chang Kim, Soo Duk Kim Prefetching Tiled Internet Data Using a Neighbor Selection Markov Chain. Search on Bibsonomy IICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Qiang Yang 0001, Henry Haining Zhang, Tianyi Li 0001 Mining web logs for prediction models in WWW caching and prefetching. Search on Bibsonomy KDD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Application to Caching and Prefetching on the WWW, World Wide Web (WWW), Web Log Mining
10Shimin Chen, Phillip B. Gibbons, Todd C. Mowry Improving Index Performance through Prefetching. Search on Bibsonomy SIGMOD Conference The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10G. Hariprakash, R. Achutharaman, Amos Omondi DSTRIDE: Data-Cache Miss-Address-Based Stride Prefetching Scheme for Multimedia Processors. Search on Bibsonomy ACSAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Chi-Keung Luk Tolerating memory latency through software-controlled pre-execution in simultaneous multithreading processors. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Murali Annavaram, Jignesh M. Patel, Edward S. Davidson Data prefetching by dependence graph precomputation. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Jack Y. B. Lee, P. C. Wong Performance Analysis of a Pull-Based Parallel Video Server. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF admission scheduling, client pull, scalable, performance analysis, striping, Parallel video server
10Matthias Brehm, Reinhold Bader, Helmut Heller, Ralf Ebner 0001 Pseudovectorization, SMP, and Message Passing on the Hitachi SR8000-F1. Search on Bibsonomy Euro-Par The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Chia-Lin Yang, Alvin R. Lebeck Push vs. pull: data movement for linked data structures. Search on Bibsonomy ICS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Haifeng Yu, Gershon Kedem DRAM-Page Based Prediction and Prefetching. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Jasmine Y. Q. Wang, Joon Suan Ong, Yvonne Coady, Michael J. Feeley Using Idle Workstations to Implement Predictive Prefetching. Search on Bibsonomy HPDC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Steven K. Reinhardt, Shubhendu S. Mukherjee Transient fault detection via simultaneous multithreading. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Pierpaolo Degano, Corrado Priami, Lone Leth Thomsen, Bent Thomsen Causality for Debugging Mobile Agents. Search on Bibsonomy Acta Informatica The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Teresa Monreal, Antonio González 0001, Mateo Valero, José González 0002, Víctor Viñals Delaying Physical Register Allocation through Virtual-Physical Registers. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Jon Tyler, Jeff Lent, Anh Mather, Huy Nguyen AltiVecTM: bringing vector technology to the PowerPCTM processor family. Search on Bibsonomy IPCCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Zongming Fei, Ibrahim Kamel, Sarit Mukherjee, Mostafa H. Ammar Providing Interactive Functions for Staggered Multicast Near Video-on-Demand Systems. Search on Bibsonomy ICMCS, Vol. 2 The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multicast, Video-on-demand
10Spiridon Bakiras, Victor O. K. Li Smoothing and Prefetching Video from Distributed Servers. Search on Bibsonomy ICNP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Robert S. Chappell, Jared Stark, Sangwook P. Kim, Steven K. Reinhardt, Yale N. Patt Simultaneous Subordinate Microthreading (SSMT). Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Abdulmotaleb El-Saddik, Carsten Griwodz, Ralf Steinmetz Exploiting User Behaviour in Prefetching WWW Documents. Search on Bibsonomy IDMS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Amir Roth, Andreas Moshovos, Gurindar S. Sohi Dependance Based Prefetching for Linked Data Structures. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Parthasarathy Ranganathan, Kourosh Gharachorloo, Sarita V. Adve, Luiz André Barroso Performance of Database Workloads on Shared-Memory Systems with Out-of-Order Processors. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10K. Selçuk Candan, Eric Lemar, V. S. Subrahmanian Management and Rendering of Multimedia Views. Search on Bibsonomy Multimedia Information Systems The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Sunil Kim, Alexander V. Veidenbaum The Effect of Limited Network Bandwidth and its Utilization by Latency Hiding Techniques in Large-Scale Shared Memory Systems. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF software cache coherence, interconnection network, prefetching, shared memory systems, network bandwidth, weak consistency
10Yang Dou, Zhengbing Pang, Xingming Zhou Implementing a Software Virtual Shared Memory on PVM. Search on Bibsonomy APDC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Graham P. Jones, Nigel P. Topham A Comparison of Data Prefetching on an Access Decoupled and Superscalar Machine. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Access Decoupling, Superscalar, out of order execution, latency hiding
10Nils Knafla A Prefetching Technique for Object-Oriented Databases. Search on Bibsonomy BNCOD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF application access pattern, performance analysis, distribution, object-oriented databases, multithreading, prefetching, storage management
10Myoung Kwon Tcheun, Hyunsoo Yoon, Seung Ryoul Maeng An adaptive sequential prefetching scheme in shared-memory multiprocessors. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF adaptive sequential prefetching scheme, hardware controlled scheme, high sequentiality, shared-memory multiprocessors, shared memory systems, application programs, sequentiality, memory accesses
10Vatsa Santhanam, Edward H. Gornish, Wei-Chung Hsu Data Prefetching on the HP PA-8000. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Alain Kägi, Doug Burger, James R. Goodman Efficient Synchronization: Let Them Eat QOLB. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Beng-Hong Lim, Ricardo Bianchini Limits on the Performance Benefits of Multithreading and Prefetching. Search on Bibsonomy SIGMETRICS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
10Kiran J. Achyutuni, Edward Omiecinski, Shamkant B. Navathe Two Techniques for On-Line Index Modification in Shared Nothing Parallel Databases. Search on Bibsonomy SIGMOD Conference The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
10Rafael H. Saavedra-Barrera, Weihua Mao, Daeyeon Park, Jacqueline Chame, Sungdo Moon The Combined Effectiveness of Unimodular Transformations, Tiling, and Software Prefetching. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
10Björn Grönvall, Ian Marsh, Stephen Pink A multicast-based distributed file system for the internet. Search on Bibsonomy ACM SIGOPS European Workshop The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
10Saniya Ben Hassen Prefetching Strategies for Partitioned Shared Objects. Search on Bibsonomy HICSS (1) The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
10Pierpaolo Degano, Jean-Vincent Loddo, Corrado Priami Mobile Processes with Local Clocks. Search on Bibsonomy LOMAPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
10Fredrik Dahlgren, Michel Dubois 0001, Per Stenström Sequential Hardware Prefetching in Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Hardware-controlled prefetching, sequential prefetching, performance evaluation, shared-memory multiprocessors, memory consistency models, latency tolerance
10Zheng Zhang 0001, Josep Torrellas Speeding Up Irregular Applications in Shared-Memory Multiprocessors: Memory Binding and Group Prefetching. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
10David J. Lilja The Impact of Parallel Loop Scheduling Strategies on Prefetching in a Shared Memory Multiprocessor. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF parallel loop scheduling, numerical Fortran programs, single-word cache blocks, guidedself-scheduling, scheduling, parallel programming, prefetching, shared memory multiprocessor, shared memory systems, cache coherence, trace-driven simulations, buffer storage, data caches, memory performance, false sharing, performanceevaluation, cache pollution
10Brad Calder, Dirk Grunwald Reducing Indirect Function call Overhead in C++ Programs. Search on Bibsonomy POPL The full citation details ... 1994 DBLP  DOI  BibTeX  RDF optimization, object oriented programming, customization, profile-based optimization
10Karen A. Tomko, Santosh G. Abraham Data and program restructuring of irregular applications for cache-coherent multiprocessor. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
10Carsten Andreas Gerlhof, Alfons Kemper A Multi-Threaded Architecture for Prefetching in Object Bases. Search on Bibsonomy EDBT The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
10Mark D. Hill, James R. Larus, Steven K. Reinhardt, David A. Wood 0001 Cooperative Shared Memory: Software and Hardware Support for Scalable Multiprocesors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF directory protocols, shared-memory multiprocessors, cache coherence, programming model, memory systems
10Carl D. Tait, Dan Duchamp Detection and exploitation of file working sets. Search on Bibsonomy ICDCS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
10Sang Lyul Min, Yarsun Hsu, Hyoung-Joo Kim A Design of Performance-optimized Control-based Synchronization. Search on Bibsonomy CONPAR The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
10Ashok Singhal, Yale N. Patt Implementing a Prolog machine with multiple functional units. Search on Bibsonomy MICRO The full citation details ... 1988 DBLP  BibTeX  RDF Prolog
10Lothar F. Mackert, Guy M. Lohman R* Optimizer Validation and Performance Evaluation for Local Queries. Search on Bibsonomy SIGMOD Conference The full citation details ... 1986 DBLP  DOI  BibTeX  RDF SQL
10Alan Jay Smith Sequentiality and Prefetching in Database Systems. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 1978 DBLP  DOI  BibTeX  RDF dynamic programming, prefetching, buffer management, database systems, IMS, paging, sequentiality
Displaying result #501 - #575 of 575 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license