The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Programmability with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1966-1992 (17) 1993-1995 (17) 1996-1997 (17) 1998-1999 (33) 2000 (17) 2001 (24) 2002 (42) 2003 (40) 2004 (53) 2005 (58) 2006 (63) 2007 (62) 2008 (75) 2009 (56) 2010 (17) 2011-2012 (23) 2013-2014 (27) 2015 (18) 2016 (21) 2017-2018 (38) 2019 (21) 2020 (26) 2021 (18) 2022 (15) 2023 (22) 2024 (2)
Publication types (Num. hits)
article(192) book(1) incollection(2) inproceedings(611) phdthesis(15) proceedings(1)
Venues (Conferences, Journals, ...)
ISCAS(22) IPDPS(20) CoRR(16) DATE(13) FPL(11) DAC(10) IEEE Trans. Very Large Scale I...(10) IWAN(10) J. VLSI Signal Process.(10) ASAP(9) FPGA(8) IEEE Trans. Parallel Distribut...(8) ISCA(8) ISCAS (1)(8) OFC(7) PPoPP(7) More (+10 of total 402)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 749 occurrences of 537 keywords

Results
Found 822 publication records. Showing 822 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
9Christian Sauer 0001, Matthias Gries, Sebastian Dirk Interactive presentation: Hard- and software modularity of the NOVA MPSoC platform. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Zhuan Ye, John Grosspietsch, Gokhan Memik Interactive presentation: An FPGA based all-digital transmitter with radio frequency output for software defined radio. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Weiguo Liu, Bertil Schmidt, Gerrit Voss, Wolfgang Müller-Wittig Molecular Dynamics Simulations on Commodity GPUs with CUDA. Search on Bibsonomy HiPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Ralf Lämmel Scrap your boilerplate with XPath-like combinators. Search on Bibsonomy POPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF XML programming, generic functional programming
9Afshin Niktash, Hooman Parizi, Nader Bagherzadeh A Reconfigurable Processor for Forward Error Correction. Search on Bibsonomy ARCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Turbo, Forward Error Correction, Processing Element, Reconfigurable Processor, Viterbi
9Yong Li 0006, Zhiying Wang 0003, Jian Ruan, Kui Dai A Low-Power Globally Synchronous Locally Asynchronous FFT Processor. Search on Bibsonomy HPCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Tiffany M. Mintz, James P. Davis Low-power tradeoffs for mobile computing applications: embedded processors versus custom computing kernels. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF algorithmic state machine, low-power design, reconfigurable computing, embedded processors
9Lisane B. de Brisolara, Sang-Il Han, Xavier Guerin, Luigi Carro, Ricardo Reis 0001, Soo-Ik Chae, Ahmed Amine Jerraya Reducing fine-grain communication overhead in multithread code generation for heterogeneous MPSoC. Search on Bibsonomy SCOPES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9André Ribeiro Cardoso, Ahmed Serhrouchni, Joaquim Celestino Jr., Mikaël Salaün Convergence among Peer-to-Peer and Programmable Networks. Search on Bibsonomy ECUMN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9A. N. Satrawala, Keshavan Varadarajan, Mythri Alle, S. K. Nandy 0001, Ranjani Narayan REDEFINE: Architecture of a SoC Fabric for Runtime Composition of Computation Structures. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Emilena Specht, Ricardo Miotto Redin, Luigi Carro, Luís da Cunha Lamb, Érika F. Cota, Flávio Rech Wagner Analysis of the use of declarative languages for enhanced embedded system software development. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded software, modeling languages, system level modeling, system level synthesis
9Philip A. Bernstein, Sergey Melnik 0001 Model management 2.0: manipulating richer mappings. Search on Bibsonomy SIGMOD Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF engineered mapping, data integration, data exchange, schema mapping, schema matching, schema evolution, model management, data translation
9Thomas Weigold, Thorsten Kramp, Peter Buhler ePVM - An Embeddable Process Virtual Machine. Search on Bibsonomy COMPSAC (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Akira Hatanaka, Nader Bagherzadeh A Modulo Scheduling Algorithm for a Coarse-Grain Reconfigurable Array Template. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Min Xie, Youren Wang, Li Wang, Yuan Zhang Design on Operator-Based Reconfigurable Hardware Architecture and Cell Circuit. Search on Bibsonomy ICES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Operator-based programmable cell circuit, FPGA, Reconfigurable computing, Reconfigurable hardware, Information processing
9Theofanis Orphanoudakis, George Kornaros, Ioannis Mavroidis, Aristides Nikologiannis, Ioannis Papaefstathiou An Embedded Networking SoC for purely Ethernet MANs/WANs. Search on Bibsonomy ISCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Hanno Scharwächter, Jonghee M. Youn, Rainer Leupers, Yunheung Paek, Gerd Ascheid, Heinrich Meyr A code-generator generator for multi-output instructions. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ISS, code-selection, compiler/architecture co-design, ASIP
9Arndt Bode Scalability for Petaflops systems. Search on Bibsonomy APPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Shaoshan Liu, Jean-Luc Gaudiot Synchronization Mechanisms on Modern Multi-core Architectures. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Yong Li 0006, Zhiying Wang 0003, Xue-mi Zhao, Jian Ruan, Kui Dai Design of a Low-Power Embedded Processor Architecture Using Asynchronous Function Units. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Tao Li 0008, Xiaoming Zhang, Zhigang Sun DynaNP - A Coarse-grain Dataflow Network Processor Architecture with Dynamic Configurable Processing Path. Search on Bibsonomy SNPD (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Walid A. Najjar Compiling code accelerators for FPGAs. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA code acceleration
9Sebastian Fleissner GPU-Accelerated Montgomery Exponentiation. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Montgomery Exponentiation, Encryption, GPGPU
9Rahul Sarpeshkar, Woradorn Wattanapanitch, Benjamin I. Rapoport, Scott K. Arfin, Michael W. Baker, Soumyajit Mandal, Michale S. Fee, Sam Musallam, Richard A. Andersen Low-Power Circuits for Brain-Machine Interfaces. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Klaus Gaedke, Malte Borsum, Marco Georgi, Andreas Kluger, Jean-Pierre Le Glanic, Pascal Bernard Architecture and VLSI Implementation of a programmable HD Real-Time Motion Estimator. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Ivan Padilla, Jaime Ramírez-Angulo, Ramón González Carvajal, Antonio J. López-Martín Highly Linear V/I Converter with Programmable Current Mirrors. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9David W. Graham, Paul E. Hasler Run-Time Programming of Analog Circuits Using Floating-Gate Transistors. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Mohammad Fazle Azeem, Asim Baig Design and Fabrication of Basic Building Blocks For Analog Implementation of Programmable Fuzzy Logic Controller. Search on Bibsonomy IRI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Xipeng Shen, Michael L. Scott, Chengliang Zhang, Sandhya Dwarkadas, Chen Ding 0001, Mitsunori Ogihara Analysis of input-dependent program behavior using active profiling. Search on Bibsonomy Experimental Computer Science The full citation details ... 2007 DBLP  DOI  BibTeX  RDF active profiling, program phase analysis and prediction, memory management, dynamic optimization
9Michele Favalli Delay Fault Detection Problems in Circuits Featuring a Low Combinational Depth. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Weiguo Liu, Wolfgang Müller-Wittig, Bertil Schmidt Performance Predictions for General-Purpose Computation on GPUs. Search on Bibsonomy ICPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9K. Scott Hemmert, Keith D. Underwood, Arun Rodrigues An architecture to perform NIC based MPI matching. Search on Bibsonomy CLUSTER The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Seng Lin Shee, Sri Parameswaran Design Methodology for Pipelined Heterogeneous Multiprocessor System. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Raffaella Grieco, Delfina Malandrino, Vittorio Scarano A Scalable Cluster-based Infrastructure for Edge-computing Services. Search on Bibsonomy World Wide Web The full citation details ... 2006 DBLP  DOI  BibTeX  RDF intermediary systems, personalized and mobile services, World Wide Web, proxy servers, Edge Services
9Minghua Shi, Amine Bermak An Efficient Digital VLSI Implementation of Gaussian Mixture Models-Based Classifier. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Sze Wei Lee, S.-C. Lim VLSI Design of a Wavelet Processing Core. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Yan Lin 0001, Lei He 0001 Dual-Vdd Interconnect With Chip-Level Time Slack Allocation for FPGA Power Reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Kenneth L. Calvert, Jim Griffioen, Su Wen Scalable Network Management Using Lightweight Programmable Network Services. Search on Bibsonomy J. Netw. Syst. Manag. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ephemeral state, lightweight network services, scalability, network management, Programmable networks
9Miguel Angel Domínguez, José L. Ausín, J. Francisco Duque-Carrillo, Guido Torelli A 1-MHz Area-Efficient On-Chip Spectrum Analyzer for Analog Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF analog built-in self-test, analog IC test, on-chip spectrum analyzer, switched-capacitor circuits, non-uniform sampling
9Tero Kangas, Timo D. Hämäläinen, Kimmo Kuusilinna Scalable Architecture for SoC Video Encoders. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF System-on-Chip, video encoding, architecture exploration
9Song Fu, Cheng-Zhong Xu 0001, Brian Wims, Ramzi Basharahil Distributed shared arrays: A distributed virtual machine with mobility support for reconfiguration. Search on Bibsonomy Clust. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Distributed shared arrays (DSA), Distributed virtual machine, DSA service migration, Parallel programming model
9Pavan Sikka, Peter I. Corke, Philip Valencia, Christopher Crossman, Dave Swain, Greg Bishop-Hurley Wireless adhoc sensor and actuator networks on the farm. Search on Bibsonomy IPSN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF farm management, sensor network applications
9Teemu Pitkänen, Risto Mäkinen, Jari Heikkinen, Tero Partanen, Jarmo Takala Low-Power, High-Performance TTA Processor for 1024-Point Fast Fourier Transform. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Yang Yu 0009, Loren J. Rittle, Vartika Bhandari, Jason B. LeBrun Supporting concurrent applications in wireless sensor networks. Search on Bibsonomy SenSys The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Maté, dynamic group formation, group-keyed code dissemination, group-keyed code distribution, melete, muse, trickle, wireless sensor networks, virtual machine, network protocols, concurrent applications
9Benjamin Ylvisaker, Brian Van Essen, Carl Ebeling A type architecture for hybrid micro-parallel computers. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Klaus Mueller 0001, Fang Xu Practical considerations for GPU-accelerated CT. Search on Bibsonomy ISBI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Qi Zhang 0023, Roy Eagleson, Terry M. Peters Real-time visualization of 4D cardiac MR images using graphics processing units. Search on Bibsonomy ISBI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Luca Fanucci, Michele Cassiano, Sergio Saponara, David Kammler, Ernst Martin Witte, Oliver Schliebusch, Gerd Ascheid, Rainer Leupers, Heinrich Meyr ASIP design and synthesis for non linear filtering in image processing. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Frits Steenhof, Harry Duque, Björn Nilsson, Kees Goossens, Rafael Peset Llopis Networks on chips for high-end consumer-electronics TV system architectures. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Chingwei Yeh, Chao-Ching Wang, Lin-Chi Lee, Jinn-Shyan Wang A 124.8Msps, 15.6mW field-programmable variable-length codec for multimedia applications. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Amilcar do Carmo Lucas, Sven Heithecker, Peter Rüffer, Rolf Ernst, Holger Rückert, Gerhard Wischermann, Karin Gebel, Reinhard Fach, Wolfgang Huther, Stefan Eichner, Gunter Scheller A reconfigurable HW/SW platform for computation intensive high-resolution real-time digital film applications. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF digital film, stream-based architecture, weak-programming, FPGA, motion-estimation, reconfigurable
9Moti Yung, Yunlei Zhao Interactive Zero-Knowledge with Restricted Random Oracles. Search on Bibsonomy TCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Roberto Andreoli, Rosario De Chiara, Ugo Erra, Antonio Iannaccone, Fernando La Greca, Vittorio Scarano Some Real Experiences in Developing Virtual Environments. Search on Bibsonomy IV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Shuyi Chen, Sheng Li 0008, Guoping Wang Real-Time Rendering of Light Shafts on GPU. Search on Bibsonomy ISVC (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Lerong Cheng, Jinjun Xiong, Lei He 0001, Mike Hutton FPGA Performance Optimization Via Chipwise Placement Considering Process Variations. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Mike Hutton, Yan Lin 0001, Lei He 0001 Placement and Timing for FPGAs Considering Variations. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Koen Van Renterghem, Dieter Verhulst, S. Verschuere, Pieter Demuytere, Jan Vandewege, Xing-Zhi Qiu A Scalable Network ASIP Enabling Flow Awareness in Ethernet Access. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Jürgen Teich, Stefanos Kaxiras, Toomas P. Plaks, Krisztián Flautner Topic 18: Embedded Parallel Systems. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Reiner W. Hartenstein RAW keynote 2: new horizons of very high performance computing (VHPC): hurdles and chances. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Gayatri Mehta, Raymond R. Hoare, Justin Stander, Alex K. Jones Design space exploration for low-power reconfigurable fabrics. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Maya B. Gokhale RAW keynote 1: the outer limits: reconfigurable computing in space and in orbit. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Arpith C. Jacob, Brandon Harris, Jeremy Buhler, Roger D. Chamberlain, Young H. Cho Scalable Softcore Vector Processor for Biosequence Applications. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Michael Attig, Gordon J. Brebner Systematic Characterization of Programmable Packet Processing Pipelines. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Qi Huang, Xiaoping Chen, Bingfeng Wang, Ronghai Cai, Kaiyu Qin The Concept of Computing on Chip (CoC) for Electric Power System Application. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF CoC, VLSI, SOC, Power System, Transient Simulation
9Javier Setoain, Christian Tenllado, Manuel Prieto 0001, David Valencia, Antonio Plaza, Javier Plaza Parallel Hyperspectral Image Processing on Commodity Graphics Hardware. Search on Bibsonomy ICPP Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Yang Liu, Wayne Huang 0002, John Johnson, Sheila Vaidya GPU Accelerated Smith-Waterman. Search on Bibsonomy International Conference on Computational Science (4) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Paul Gruijters, Bertrand Vandewiele Algorithm Partitioning and SoC Design for OFDM Communication Systems Using Multiple Application Specific Processors. Search on Bibsonomy ISM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Milind S. Sawant, Jaime Ramírez-Angulo, Ramón González Carvajal, Antonio J. López-Martín Linear compact CMOS OTA with multidecade tuning, -62dB IM3, -75dB SFDR, constant input range and two independent degrees of freedom for gain adjustment. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Somsubhra Mondal, Rajarshi Mukherjee, Seda Ogrenci Memik Fine-grain thermal profiling and sensor insertion for FPGAs. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Eric D. Marsman, Robert M. Senger, Gordy A. Carichner, Sundus Kubba, Michael S. McCorquodale, Richard B. Brown DSP architecture for cochlear implants. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Julien Mairal, Renaud Keriven, Alexandre Chariot Fast and Efficient Dense Variational Stereo on GPU. Search on Bibsonomy 3DPVT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Tae-Young Kim, Kyoung-Su Oh Design of a Programmable Vertex Processing Unit for Mobile Platforms. Search on Bibsonomy EUC Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Puxuan Dong, Griff L. Bilbro, Mo-Yuen Chow Implementation of Artificial Neural Network for Real Time Applications Using Field Programmable Analog Arrays. Search on Bibsonomy IJCNN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Tiago R. Balen, José Vicente Calvano, Marcelo Lubaszewski, Michel Renovell Functional Test of Field Programmable Analog Arrays. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF analog built-in self-test, transient response analysis, FPAA
9Tarek A. El-Ghazawi, Dave Bennett, Daniel S. Poznanovic, Allan Cantle, Keith D. Underwood, Rob Pennington, Duncan A. Buell, Alan D. George, Volodymyr V. Kindratenko Reconfigurable supercomputing - Is high-performance reconfigurable computing the next supercomputing paradigm? Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Mark I. Parsons, Francis W. Wray Programming FPGAs - Programming FPGAs: challenges and successes. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Ana L. Milanova, Sonia Fahmy, David R. Musser, Bülent Yener A Secure Programming Paradigm for Network Virtualization. Search on Bibsonomy BROADNETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Yuan Lin 0002, Hyunseok Lee, Mark Woh, Yoav Harel, Scott A. Mahlke, Trevor N. Mudge, Chaitali Chakrabarti, Krisztián Flautner SODA: A Low-power Architecture For Software Radio. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Jaime H. Moreno Chip-level integration: the new frontier for microprocessor architecture. Search on Bibsonomy SPAA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF chip-level integration, microprocessor architecture
9Yaron Weinsberg, Danny Dolev, Tal Anker, Pete Wyckoff HYDRA: A Novel Framework for Making High-Performance Computing Offload Capable. Search on Bibsonomy LCN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Wei-Kai Chan, Shao-Yi Chien Subword Parallel Architecture for Connected Component Labeling and Morphological Operations. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Aaron R. Kunze, Stephen D. Goglin, Erik J. Johnson Symerton--using virtualization to accelerate packet processing. Search on Bibsonomy ANCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF networking, virtualization, communications systems
9Piti Piyachon, Yan Luo Efficient memory utilization on network processors for deep packet inspection. Search on Bibsonomy ANCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF parallel processing, pattern matching, network processor, deep packet inspection
9Seiji Maeda, Shigehiro Asano, Tomofumi Shimada, Koichi Awazu, Haruyuki Tago A Real-Time Software Platform for the Cell Processor. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF real-time resource scheduler, Scalability, Consumer electronics, Cell processor
9John Montrym, Henry P. Moreton The GeForce 6800. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF GPU, Graphics, SIMD, multi-threaded, MIMD, parallel processors
9Yan Lin 0001, Fei Li 0003, Lei He 0001 Circuits and architectures for field programmable gate array with configurable supply voltage. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Lih-Yih Chiou, Swarup Bhunia, Kaushik Roy 0001 Synthesis of application-specific highly efficient multi-mode cores for embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF embedded systems, high level synthesis, synthesis, Digital signal processing (DSP), application specific integrated circuits (ASIC), reconfigurable system
9Frederik De Keukelaere, Saar De Zutter, Rik Van de Walle MPEG-21 digital item Processing. Search on Bibsonomy IEEE Trans. Multim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Ruby B. Lee, A. Murat Fiskiran PLX: An Instruction Set Architecture and Testbed for Multimedia Information Processing. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multimedia, processor architecture, instruction set architecture, media processing, ISA
9Nizamettin Aydin, Tughrul Arslan, David R. S. Cumming A direct-sequence spread-spectrum communication system for integrated sensor microsystems. Search on Bibsonomy IEEE Trans. Inf. Technol. Biomed. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Wolfgang Nebel, Bärbel Mertsching, Birger Kollmeier Digital Hearing Aids: Challenges and Solutions for Ultra Low Power. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Tarek A. El-Ghazawi, Kris Gaj, Nikitas A. Alexandridis, Allen Michalski, Osman Devrim Fidanci, Mohamed Taher, Esam El-Araby, Esmail Chitalwala, Proshanta Saha Reconfigurable computers: an empirical analysis (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Mohamed Taher, Esam El-Araby, Tarek A. El-Ghazawi, Kris Gaj Image processing library for reconfigurable computers (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Somsubhra Mondal, Seda Ogrenci Memik, Debasish Das Hierarchical LUT structures for leakage power reduction (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Zhi Guo, Betul Buyukkurt, Walid A. Najjar, Kees A. Vissers Optimized Generation of Data-Path from C Codes for FPGAs. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Artur Burchard, Ewa Hekstra-Nowacka, Atul Chauhan A Real-Time Streaming Memory Controller. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Jan Fischer, Dirk Bartz, Wolfgang Straßer Reality Tooning: Fast Non-Photorealism for Augmented Video Streams. Search on Bibsonomy ISMAR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Panu Hämäläinen, Jari Heikkinen, Marko Hännikäinen, Timo D. Hämäläinen Design of Transport Triggered Architecture Processors for Wireless Encryption. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9BoonPing Lim, Md. Safi Uddin Statistical-Based SYN-Flooding Detection Using Programmable Network Processor. Search on Bibsonomy ICITA (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF non-parametric CUSUM, token bucket filtering, network security, network processor, SYN-flooding
9Fumihiko Ino, Manabu Matsui, Keigo Goda, Kenichi Hagihara Performance Study of LU Decomposition on the Programmable GPU. Search on Bibsonomy HiPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 822 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license