The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for RTL with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1989 (15) 1990-1992 (21) 1993-1994 (18) 1995 (20) 1996 (26) 1997 (28) 1998 (38) 1999 (47) 2000 (63) 2001 (57) 2002 (61) 2003 (69) 2004 (93) 2005 (94) 2006 (108) 2007 (135) 2008 (99) 2009 (65) 2010 (30) 2011 (24) 2012 (36) 2013 (28) 2014 (30) 2015 (38) 2016 (29) 2017 (26) 2018 (34) 2019 (40) 2020 (40) 2021 (34) 2022 (38) 2023 (67) 2024 (14)
Publication types (Num. hits)
article(308) book(1) data(2) incollection(3) inproceedings(1246) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1091 occurrences of 565 keywords

Results
Found 1565 publication records. Showing 1565 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Diana Kalel, Jean-Christophe Brignone, Irene Serre, Julian Massicot, Jerome Avezou UPF-Aware CDC Structural Verification on RTL. Search on Bibsonomy NEWCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Prianka Sengupta, Aakash Tyagi, Yiran Chen 0001, Jiang Hu Early Identification of Timing Critical RTL Components using ML based Path Delay Prediction. Search on Bibsonomy MLCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Daniela Sanchez Lopera, Ishwor Subedi, Wolfgang Ecker Using Graph Neural Networks for Timing Estimations of RTL Intermediate Representations. Search on Bibsonomy MLCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Johannes Geier, Daniel Mueller-Gritschneder vRTLmod: An LLVM based Open-source Tool to Enable Fault Injection in Verilator RTL Simulations. Search on Bibsonomy CF The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Rakesh M. B., Pabitra Das, Anant Terkar, Amit Acharyya GRASPE: Accurate Post-Synthesis Power Estimation from RTL using Graph Representation Learning. Search on Bibsonomy ISCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Shubham Nema, Shiva Kaushik Chunduru, Charan Kodigal, Gwendolyn Voskuilen, Arun F. Rodrigues, K. Scott Hemmert, Ben Feinberg, Hyokeun Lee, Amro Awad, Clayton Hughes ERAS: A Flexible and Scalable Framework for Seamless Integration of RTL Models with Structural Simulation Toolkit. Search on Bibsonomy IISWC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Mengxi Liu, Bo Zhou 0005, Zimin Zhao, Hyeonseok Hong, Hyun Kim, Sungho Suh, Vítor Fortes Rey, Paul Lukowicz FieldHAR: A Fully Integrated End-to-End RTL Framework for Human Activity Recognition with Neural Networks from Heterogeneous Sensors. Search on Bibsonomy ASAP The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Xinhui Lai, Maksim Jenihhin Analyzing Side-Channel Attack Vulnerabilities at RTL. Search on Bibsonomy LATS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Julian Höfer, Fabian Kempf, Tim Hotfilter, Fabian Kreß, Tanja Harbaum, Jürgen Becker 0001 SiFI-AI: A Fast and Flexible RTL Fault Simulation Framework Tailored for AI Models and Accelerators. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Hussam Murad, Fatma Newagy, M. Watheq El-Kharashi Improved Dynamic Collision Recovery in Wireless Ad-Hoc Networks: System and RTL Modeling. Search on Bibsonomy ICCCNT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Vinay Kumar, Bhrugurajsinh Chudasama, Bin B. W. Wang, Manish Arora, Bharath Shankaranarayanan Allocating Physically Aware Embedded Memory Test & Repair Processor using Floorplan Info at the RTL Design Level. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Hiroyuki Iwata, Yoichi Maeda, Jun Matsushima, Oussama Laouamri, Naveen Khanna, Jeff Mayer, Nilanjan Mukherjee A New Framework for RTL Test Points Insertion Facilitating a "Shift-Left DFT" Strategy. Search on Bibsonomy ITC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Rakesh M. B., Pabitra Das, Sai Pranav K. R, Amit Acharyya GRILAPE: Graph Representation Inductive Learning-based Average Power Estimation for Frontend ASIC RTL Designs. Search on Bibsonomy VLSID The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15José-Miguel Galeas-Merchán, José-Borja Castillo-Sánchez, Martín González-García Simplifying RTL design and verification in chip manufacturing: A paradigm for Electronics Teaching using Open-Source tools. Search on Bibsonomy DCIS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Wu Wang, Nan Zhang 0001, Cong Tian, Zhenhua Duan, Zhijie Xu, Chaofeng Yu Verifying Chips Design at RTL Level. Search on Bibsonomy TASE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Haoyuan Wang, Scott Beamer RepCut: Superlinear Parallel RTL Simulation with Replication-Aided Partitioning. Search on Bibsonomy ASPLOS (3) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Kevin Laeufer, Vighnesh Iyer, David Biancolin, Jonathan Bachrach, Borivoje Nikolic, Koushik Sen Simulator Independent Coverage for RTL Hardware Languages. Search on Bibsonomy ASPLOS (3) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Mahyar Emami, Sahand Kashani, Keisuke Kamahori, Mohammad Sepehr Pourghannad, Ritik Raj, James R. Larus Manticore: Hardware-Accelerated RTL Simulation with Static Bulk-Synchronous Parallelism. Search on Bibsonomy ASPLOS (4) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Rongjian Liang, Nathaniel Ross Pinckney, Yuji Chai, Haoxin Ren, Brucek Khailany Late Breaking Results: Test Selection For RTL Coverage By Unsupervised Learning From Fast Functional Simulation. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Eric Ohana Optimizing Verification of RTL Designs Using Reinforcement Learning Methods. Search on Bibsonomy 2023   RDF
15Jie Xiao 0003, Qiou Ji, Qing Shen, Jianhui Jiang, Yujiao Huang, Jungang Lou Accelerating stochastic-based reliability estimation for combinational circuits at RTL using GPU parallel computing. Search on Bibsonomy Int. J. Intell. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Dan Luo, Tun Li, Liqian Chen, Hongji Zou, Mingchuan Shi Grammar-based fuzz testing for microprocessor RTL design. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Jooho Wang, Sungkyung Park 0001, Chester Sungchung Park Spatial Data Dependence Graph Based Pre-RTL Simulator for Convolutional Neural Network Dataflows. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Daniela Sanchez Lopera, Lorenzo Servadei, Sebastian Siegfried Prebeck, Wolfgang Ecker Early RTL delay prediction using neural networks. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Jian Hu, Minhui Hu, Kuang Zhao, Yun Kang, Haitao Yang 0006, Jie Cheng A Hybrid Method for Equivalence Checking Between System Level and RTL. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Jiaji He, Haocheng Ma, Max Panoff, Hanning Wang, Yiqiang Zhao, Leibo Liu, Xiaolong Guo, Yier Jin Security Oriented Design Framework for EM Side-Channel Protection in RTL Implementations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Shailja Thakur, Baleegh Ahmad, Zhenxing Fan, Hammond Pearce, Benjamin Tan 0001, Ramesh Karri, Brendan Dolan-Gavitt, Siddharth Garg Benchmarking Large Language Models for Automated Verilog RTL Code Generation. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Syed Asad Alam, David Gregg, Giulio Gambardella, Michael Preußer, Michaela Blott On the RTL Implementation of FINN Matrix Vector Compute Unit. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  BibTeX  RDF
15Chih-Chyau Yang, Tian-Sheuan Chang Pre-RTL DNN Hardware Evaluator With Fused Layer Support. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Pervesh Kumar, Huo Yingge, Imran Ali, YoungGun Pu, Keum-Cheol Hwang, Youngoo Yang, Yeon-Jae Jung, Hyung-Ki Huh, Seok-Kee Kim, Joon-Mo Yoo, Kang-Yoon Lee A Configurable and Fully Synthesizable RTL-Based Convolutional Neural Network for Biosensor Applications. Search on Bibsonomy Sensors The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Anuradha Chathuranga Ranasinghe, Sabih H. Gerez Gate-Level RTL Description of the Glitch Optimized Multipliers. Search on Bibsonomy 2022   DOI  RDF
15Sheikh Ariful Islam, Srinivas Katkoori Behavioral Synthesis of Key-Obfuscated RTL IP. Search on Bibsonomy Behavioral Synthesis for Hardware Security The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Farhath Zareen, Robert Karam A Framework for Detecting Hardware Trojans in RTL Using Artificial Immune Systems. Search on Bibsonomy Behavioral Synthesis for Hardware Security The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Parmida Vahdatniya, Amirali Sharifian, Reza Hojabr, Arrvindh Shriraman mu-grind: A Framework for Dynamically Instrumenting HLS-Generated RTL. Search on Bibsonomy PACT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Hongji Zou, Mingchuan Shi, Tun Li, WanXia Qu Towards Implementing RTL Microprocessor Agile Design Using Feature Oriented Programming. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Yu Zeng, Aarti Gupta, Sharad Malik Automatic Generation of Architecture-Level Models from RTL Designs for Processors and Accelerators. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Orlando Arias, Zhaoxiang Liu, Xiaolong Guo, Yier Jin, Shuo Wang 0003 RTSEC: Automated RTL Code Augmentation for Hardware Security Enhancement. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Ganapathy Parthasarathy, Aabid Rushdi, Parivesh Choudhary, Saurav Nanda, Malan Evans, Hansika Gunasekara, Sridhar Rajakumar RTL Regression Test Selection using Machine Learning. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Yue Xing 0001, Aarti Gupta, Sharad Malik Generalizing Tandem Simulation: Connecting High-level and RTL Simulation Models. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Hao Huang, Haihua Shen, Shan Li, Huawei Li 0001 A Hardware Trojan Trigger Localization Method in RTL based on Control Flow Features. Search on Bibsonomy ATS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Flavien Solt, Ben Gras, Kaveh Razavi CellIFT: Leveraging Cells for Scalable and Precise Dynamic Information Flow Tracking in RTL. Search on Bibsonomy USENIX Security Symposium The full citation details ... 2022 DBLP  BibTeX  RDF
15Andrew B. Kahng, Ravi Varadarajan, Zhiang Wang RTL-MP: Toward Practical, Human-Quality Chip Planning and Macro Placement. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Daniele Paletti, Francesco Peverelli, Davide Conficconi Online Learning RTL Synthesis for Automated Design Space Exploration. Search on Bibsonomy IPDPS Workshops The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Qinhan Tan, Aarti Gupta, Sharad Malik Usage-Based RTL Subsetting for Hardware Accelerators. Search on Bibsonomy ICCAD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Prianka Sengupta, Aakash Tyagi, Yiran Chen 0001, Jiang Hu How Good Is Your Verilog RTL Code?: A Quick Answer from Machine Learning. Search on Bibsonomy ICCAD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Daniela Sanchez Lopera, Wolfgang Ecker Applying GNNs to Timing Estimation at RTL. Search on Bibsonomy ICCAD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Suriya Srinivasan, Ranga Vemuri Model Checking Leveraged Error Localization for Complex RTL Designs. Search on Bibsonomy ICCD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Nayoung Kwon, Daejin Park Lightweighted Shallow CTS Techniques for Checking Clock Tree Synthesizable Paths in RTL Design Time. Search on Bibsonomy ISOCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Anantharaj Thalaimalai Vanaraj, Raja Sekar Kumaresan, Marshal Raj, G. Lakshminarayanan Optimal Test Sequences for Logic Verification closure in State Dependent RTL Digital designs. Search on Bibsonomy ICCCNT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Ross Daly, Caleb Donovick, Jackson Melchert, Rajsekhar Setaluri, Nestan Tsiskaridze, Priyanka Raina, Clark W. Barrett, Pat Hanrahan Synthesizing Instruction Selection Rewrite Rules from RTL using SMT. Search on Bibsonomy FMCAD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Jerin Joe, Nilanjan Mukherjee 0001, Irith Pomeranz, Janusz Rajski Test Generation for an Iterative Design Flow with RTL Changes. Search on Bibsonomy ITC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Xiaochen Li, Ruilian Zhao, Ying Shang EFSM Model Construction Method for RTL Digital Circuit. Search on Bibsonomy DSA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Laurence Pierre Refinement rules for the automatic TLM-to-RTL conversion of temporal assertions. Search on Bibsonomy Integr. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Christian Pilato, Animesh Basak Chowdhury, Donatella Sciuto, Siddharth Garg, Ramesh Karri ASSURE: RTL Locking Against an Untrusted Foundry. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Mateus G. Silva, Guilherme L. Silvano, Ricardo O. Duarte RTL development of a parameterizable Reed-Solomon Codec. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Yangdi Lyu, Prabhat Mishra 0001 Scalable Concolic Testing of RTL Models. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Jian Hu, Yongyang Hu, Qi Lv, Wentao Wang, Guanwu Wang, Guilin Chen, Kang Wang, Yun Kang, Haitao Yang 0006 A Path-Based Equivalence Checking Method Between System Level and RTL Descriptions Using Machine Learning. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Alex Vidal-Obiols, Jordi Cortadella, Jordi Petit, Marc Galceran Oms, Ferran Martorell Multilevel Dataflow-Driven Macro Placement Guided by RTL Structure and Analytical Methods. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Yehya Nasser, Jordane Lorandel, Jean-Christophe Prévotet, Maryline Hélard RTL to Transistor Level Power Modeling and Estimation Techniques for FPGA and ASIC: A Survey. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Johan Laurent, Christophe Deleuze, Florian Pebay-Peyroula, Vincent Beroulle Bridging the Gap between RTL and Software Fault Injection. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Sheikh Ariful Islam, Love Kumar Sah, Srinivas Katkoori High-Level Synthesis of Key-Obfuscated RTL IP with Design Lockout and Camouflaging. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Hasini Witharana, Yangdi Lyu, Prabhat Mishra 0001 Directed Test Generation for Activation of Security Assertions in RTL Models. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Ahmet Cagri Bagbaba, Maksim Jenihhin, Raimund Ubar, Christian Sauer 0001 Representing Gate-Level SET Faults by Multiple SEU Faults at RTL. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
15Marcelo Orenes-Vera, Aninda Manocha, David Wentzlaff, Margaret Martonosi AutoSVA: Democratizing Formal Verification of RTL Module Interactions. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
15Mohammad Rahmani Fadiheh, Alex Wezel, Johannes Müller, Jörg Bormann, Sayak Ray, Jason M. Fung, Subhasish Mitra, Dominik Stoffel, Wolfgang Kunz An Exhaustive Approach to Detecting Transient Execution Side Channels in RTL Designs of Processors. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
15Haoyi Wang, Yici Cai, Qiang Zhou 0001 A game theory approach for RTL security verification resources allocation. Search on Bibsonomy CCF Trans. High Perform. Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Adham Osama, Ahmed Mostafa, Eslam Mamdouh, Mohamed Gamal, Usama Imam, Mohamed Taha, Ahmed H. Khalil, Islam Ahmed, Hassan Mostafa Fast RTL Implementation of A* Path Planning Algorithm. Search on Bibsonomy ICM The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Eiji Yoshiya, Tomoya Nakanishi, Tsuyoshi Isshiki RTL Design Framework for Embedded Processor by using C++ Description. Search on Bibsonomy DATE The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Yao Hsiao, Dominic P. Mulligan, Nikos Nikoleris, Gustavo Petri, Caroline Trippel Synthesizing Formal Models of Hardware from RTL for Efficient Verification of Memory Model Implementations. Search on Bibsonomy MICRO The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Hyuk-Je Kwon, Myeong-Hoon Oh, Won-Ok Kwon Verification of Interconnect RTL Code for Memory-Centric Computing using UVM. Search on Bibsonomy ICEIC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Swetha Varadarajulu, K. Mariammal Design of SentiNet RTL Library for CNN based Hardware Accelerator. Search on Bibsonomy ICCCSP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Frederique van Leeuwen, Bas Bosma, Arjan van den Born, Eric Postma RTL: A Robust Time Series Labeling Algorithm. Search on Bibsonomy IDA The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Yu Zeng, Bo-Yuan Huang 0001, Hongce Zhang, Aarti Gupta, Sharad Malik Generating Architecture-Level Abstractions from RTL Designs for Processors and Accelerators Part I: Determining Architectural State Variables. Search on Bibsonomy ICCAD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Daniela Sanchez Lopera, Lorenzo Servadei, Vishwa Priyanka Kasi, Sebastian Siegfried Prebeck, Wolfgang Ecker RTL Delay Prediction Using Neural Networks. Search on Bibsonomy NorCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Kazuki Furukawa, Ryohei Kobayashi, Tomoya Yokono, Norihisa Fujita, Yoshiki Yamaguchi, Taisuke Boku, Kohji Yoshikawa, Masayuki Umemura An efficient RTL buffering scheme for an FPGA-accelerated simulation of diffuse radiative transfer. Search on Bibsonomy FPT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Jizhong Yang, Ying Zhang, Yifeng Hua, Jiaqi Yao, Zhiming Mao, Xin Chen Hardware Trojans Detection Through RTL Features Extraction and Machine Learning. Search on Bibsonomy AsianHOST The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Tun Li, Hongji Zou, Dan Luo, WanXia Qu Symbolic Simulation Enhanced Coverage-Directed Fuzz Testing of RTL Design. Search on Bibsonomy ISCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Jisu Kwon, Sejong Oh, Daejin Park Metamorphic Edge Processor Simulation Framework Using Flexible Runtime Partial Replacement of Software-Embedded Verilog RTL Models. Search on Bibsonomy ISCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Chih-Chyau Yang, Tian-Sheuan Chang Pre-RTL DNN Hardware Evaluator With Fused Layer Support. Search on Bibsonomy ISOCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Serge Leef Algorithm to Architecture to RTL to GDSII: Incorporating Security into All Phases of SoC Design and Implementation Flow - Keynote. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Mertcan Temel, Warren A. Hunt Sound and Automated Verification of Real-World RTL Multipliers. Search on Bibsonomy FMCAD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Nimisha Limaye, Animesh Basak Chowdhury, Christian Pilato, Mohammed Thari Nabeel, Ozgur Sinanoglu, Siddharth Garg, Ramesh Karri Fortifying RTL Locking Against Oracle-Less (Untrusted Foundry) and Oracle-Guided Attacks. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Sadullah Canakci, Leila Delshadtehrani, Furkan Eris, Michael Bedford Taylor, Manuel Egele, Ajay Joshi DirectFuzz: Automated Test Generation for RTL Designs using Directed Graybox Fuzzing. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Marcelo Orenes-Vera, Aninda Manocha, David Wentzlaff, Margaret Martonosi AutoSVA: Democratizing Formal Verification of RTL Module Interactions. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Tao Zhang, Jungmin Park, Mark M. Tehranipoor, Farimah Farahmandi PSC-TG: RTL Power Side-Channel Leakage Assessment with Test Pattern Generation. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Scott Beamer A Case for Accelerating Software RTL Simulation. Search on Bibsonomy IEEE Micro The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Moon Gi Seok, Hessam S. Sarjoughian, Changbeom Choi, Daejin Park Fast and Cycle-Accurate Simulation of RTL NoC Designs Using Test-Driven Cellular Automata. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Cristian Andy Tanase Dynamic scheduler implementation used for load distribution between hardware accelerators (RTL) and software tasks (CPU) in heterogeneous systems. Search on Bibsonomy J. Supercomput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Sheikh Ariful Islam, Love Kumar Sah, Srinivas Katkoori A Framework for Hardware Trojan Vulnerability Estimation and Localization in RTL Designs. Search on Bibsonomy J. Hardw. Syst. Secur. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Rahul S. Bhatt, Shweta Sharma, Shyam A Automated RTL Generator for Optimized Flop Repeater Network. Search on Bibsonomy EAI Endorsed Trans. Cloud Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Tobias Ludwig 0002, Joakim Urdahl, Dominik Stoffel, Wolfgang Kunz Properties First - Correct-By-Construction RTL Design in System-Level Design Flows. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Debjit Pal, Spencer Offenberger, Shobha Vasudevan Assertion Ranking Using RTL Source Code Analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Shilpa Pendyala, Sheikh Ariful Islam, Srinivas Katkoori Interval Arithmetic and Self-Similarity Based RTL Input Vector Control for Datapath Leakage Minimization. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Christian Pilato, Animesh Basak Chowdhury, Donatella Sciuto, Siddharth Garg, Ramesh Karri ASSURE: RTL Locking Against an Untrusted Foundry. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
15David M. Russinoff Formal Verification of Arithmetic RTL: Translating Verilog to C++ to ACL2. Search on Bibsonomy ACL2 The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Sheikh Ariful Islam, Love Kumar Sah, Srinivas Katkoori DLockout: A Design Lockout Technique for Key Obfuscated RTL IP Designs. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
15Xinhui Lai, Maksim Jenihhin, Georgios N. Selimis, Sven Goossens, Roel Maes, Kolin Paul Early RTL Analysis for SCA Vulnerability in Fuzzy Extractors of Memory-Based PUF Enabled Devices. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
15Sheikh Ariful Islam, Love Kumar Sah, Srinivas Katkoori Analytical Estimation and Localization of Hardware Trojan Vulnerability in RTL Designs. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
15Shan Cao, Wei Deng, Zhenyi Bao, Chengbo Xue, Shugong Xu, Shunqing Zhang SimuNN: A Pre-RTL Inference, Simulation and Evaluation Framework for Neural Networks. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Julie Roux, Vincent Beroulle, Katell Morin-Allory, Régis Leveugle, Lilian Bossuet, Frédéric Cézilly, Frédéric Berthoz, Gilles Genévrier, François Cerisier Cross Layer Fault Simulations for Analyzing the Robustness of RTL Designs in Airborne Systems. Search on Bibsonomy DDECS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 1565 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license