The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for VLIW with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1984-1989 (16) 1990-1991 (18) 1992-1993 (31) 1994 (15) 1995-1996 (31) 1997 (30) 1998 (24) 1999 (38) 2000 (54) 2001 (53) 2002 (67) 2003 (58) 2004 (61) 2005 (88) 2006 (71) 2007 (84) 2008 (55) 2009 (30) 2010 (25) 2011 (27) 2012 (31) 2013 (25) 2014 (29) 2015 (21) 2016 (21) 2017 (26) 2018-2019 (26) 2020-2022 (16) 2023-2024 (5)
Publication types (Num. hits)
article(258) book(2) incollection(4) inproceedings(786) phdthesis(26)
Venues (Conferences, Journals, ...)
MICRO(44) DATE(38) ICCD(24) DAC(22) ASAP(21) CASES(19) IEEE Trans. Computers(17) IEEE Trans. Very Large Scale I...(15) IPDPS(15) ASP-DAC(14) ISSS(14) J. Signal Process. Syst.(13) VLSI Design(13) Euro-Par(12) IEEE PACT(12) ISCAS(12) More (+10 of total 312)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 908 occurrences of 401 keywords

Results
Found 1076 publication records. Showing 1076 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Erkan Diken, Rosilde Corvino, Lech Józwiak Rapid and accurate energy estimation of vector processing in VLIW ASIPs. Search on Bibsonomy MECO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Vasileios Porpodas, Marcelo Cintra CAeSaR: Unified cluster-assignment scheduling and communication reuse for clustered VLIW processors. Search on Bibsonomy CASES The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Xuemeng Zhang, Hui Wu 0001, Haiyan Sun Register Allocation by Incremental Graph Colouring for Clustered VLIW Processors. Search on Bibsonomy TrustCom/ISPA/IUCC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Vasileios Porpodas, Marcelo Cintra Aligned Scheduling: Cache-Efficient Instruction Scheduling for VLIW Processors. Search on Bibsonomy LCPC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Huan Ying, Hao Zhu, Zhiyuan Xue, Donghui Wang, Chaohuan Hou A Delay Slot Scheduling Framework for VLIW Architectures in Assembly-Level. Search on Bibsonomy DASC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Abbas Rahimi, Luca Benini, Rajesh K. Gupta 0001 Aging-aware compiler-directed VLIW assignment for GPGPU architectures. Search on Bibsonomy DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Manoj Gupta 0001 Improving multithreading performance for clustered VLIW architectures. Search on Bibsonomy 2013   RDF
16Fakhar Anjam Run-time Adaptable VLIW Processors: Resources, Performance, Power Consumption, and Reliability Trade-offs. Search on Bibsonomy 2013   RDF
16Xuemeng Zhang A unified approach to instruction scheduling and register allocation on clustered VLIW processors. Search on Bibsonomy 2013   RDF
16Eric J. Stotzer, Ernst L. Leiss Co-design of Compiler and Hardware Techniques to Reduce Program Code Size on a VLIW Processor. Search on Bibsonomy CLEI Electron. J. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Chi-Bang Kuan, Jenq Kuen Lee Compiler supports for VLIW DSP processors with SIMD intrinsics. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Chung-Ju Wu, Yu-Te Lin, Jenq Kuen Lee Instruction scheduling methods and phase ordering framework for VLIW DSP processors with distributed register files. Search on Bibsonomy J. Supercomput. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Fadi Abboud, Yosi Ben-Asher, Yousef Shajrawi, Esti Stein Combining Height Reduction and Scheduling for VLIW Machines Enhanced with Three-Argument Arithmetic Operations. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Rahul Nagpal, Y. N. Srikant Compiler-assisted energy optimization for clustered VLIW processors. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Madhura Purnaprajna, Paolo Ienne Making wide-issue VLIW processors viable on FPGAs. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16David Stevens, Vassilios A. Chouliaras, Vicente Azorin-Peris, Jia Zheng, Angelos Echiadis, Sijung Hu BioThreads: A Novel VLIW-Based Chip Multiprocessor for Accelerating Biomedical Image Processing Applications. Search on Bibsonomy IEEE Trans. Biomed. Circuits Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Yang Xu, Hu He, Zhizhong Tang Energy Consumption Optimized Scheduling Algorithm for Clustered VLIW Architectures. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Xu Yang 0003, Hu He 0001 An Advanced Compiler Designed for a VLIW DSP for Sensors-Based Systems. Search on Bibsonomy Sensors The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Yazhi Huang, Mengying Zhao, Chun Jason Xue WCET-aware re-scheduling register allocation for real-time embedded systems with clustered VLIW architecture. Search on Bibsonomy LCTES The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Fakhar Anjam, Stephan Wong, Luigi Carro, Gabriel L. Nazar, Mateus B. Rutzig Simultaneous reconfiguration of issue-width and instruction cache for a VLIW processor. Search on Bibsonomy ICSAMOS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Davide Sabena, Luca Sterpone, Matteo Sonza Reorda On the Automatic Generation of Software-Based Self-Test Programs for Functional Test and Diagnosis of VLIW Processors. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Davide Sabena, Matteo Sonza Reorda, Luca Sterpone On the optimized generation of Software-Based Self-Test programs for VLIW processors. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Davide Sabena, Matteo Sonza Reorda, Luca Sterpone A new SBST algorithm for testing the register file of VLIW processors. Search on Bibsonomy DATE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Roel Jordans, Rosilde Corvino, Lech Józwiak Algorithm Parallelism Estimation for Constraining Instruction-Set Synthesis for VLIW Processors. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Jeremy Abramson, Pedro C. Diniz Resiliency-aware Scheduling for reconfigurable VLIW processors. Search on Bibsonomy ReConFig The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Yi He 0008, Maolin Guan, Chunyuan Zhang, Tian Tian, Qianming Yang Fully Distributed On-chip Instruction Memory Design for Stream Architecture Based on Field-Divided VLIW Compression. Search on Bibsonomy HPCC-ICESS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Mark Milward, David Stevens, Vassilios A. Chouliaras Embedded UML design flow to the configurable LE1 MultiCore VLIW processor. Search on Bibsonomy ReCoSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Stefan Hauser, Nico Moser, Ben H. H. Juurlink SynZEN: A hybrid TTA/VLIW architecture with a distributed register file. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Yaohua Wang, Shuming Chen, Kai Zhang 0023, Hu Chen, Xiaowen Chen Architecture Design Trade-offs among VLIW SIMD and Multi-core Schemes. Search on Bibsonomy IPDPS Workshops The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Jongwon Lee, Jonghee M. Youn, Jihoon Lee, Minwook Ahn, Yunheung Paek Dynamic Operands Insertion for VLIW Architecture with a Reduced Bit-width Instruction Set. Search on Bibsonomy IPDPS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Luc Michel, Nicolas Fournel, Frédéric Pétrot Fast simulation of systems embedding VLIW processors. Search on Bibsonomy CODES+ISSS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Vasileios Porpodas, Marcelo Cintra UCIFF: Unified Cluster Assignment Instruction Scheduling and Fast Frequency Selection for Heterogeneous Clustered VLIW Cores. Search on Bibsonomy LCPC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Claude-Pierre Jeannerod, Jingyan Jourdan-Lu Simultaneous Floating-Point Sine and Cosine for VLIW Integer Processors. Search on Bibsonomy ASAP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Alireza Rohani, Hans G. Kerkhoff An on-line soft error mitigation technique for control logic of VLIW processors. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Davide Sabena, Matteo Sonza Reorda, Luca Sterpone On the development of Software-Based Self-Test methods for VLIW processors. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Mitsuya Uchida, Ittetsu Taniguchi, Hiroyuki Tomiyama, Masahiro Fukui Energy-aware SA-based instruction scheduling for fine-grained power-gated VLIW processors. Search on Bibsonomy ISOCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Matthew Doerksen, Parimala Thulasiraman, Ruppa K. Thulasiram Optimizing Option Pricing Algorithms and Profiling Power Consumption on VLIW APU Architecture. Search on Bibsonomy ISPA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Yonghua Hu, Shuming Chen, Jie Huang Preprocessing Scheme of Intelligent Assembly for a High Performance VLIW DSP. Search on Bibsonomy CGC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Yangzhao Yang, Zeng Zhao, Naijie Gu DFG-base Dynamic Operation Partitioning for Heterogeneous Multicluster VLIW DSP Processor. Search on Bibsonomy CLUSTER The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Xiaoyan Jia Indirect code generation for VLIW architectures and a hardware optimization algorithm. Search on Bibsonomy 2012   RDF
16Joseph A. Fisher, Paolo Faraboschi, Cliff Young VLIW Processors. Search on Bibsonomy Encyclopedia of Parallel Computing The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Che-Wei Lin, Chang Hong Lin, Wei Jhih Wang A power-aware code-compression design for RISC/VLIW architecture. Search on Bibsonomy J. Zhejiang Univ. Sci. C The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Yong Guan, Jingling Xue Leakage-Aware Modulo Scheduling for Embedded VLIW Processors. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Yuanwu Lei, Yong Dou, Jie Zhou 0007 FPGA-Specific Custom VLIW Architecture for Arbitrary Precision Floating-Point Arithmetic. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Rahul Nagpal, Y. N. Srikant Compiler-assisted power optimization for clustered VLIW architectures. Search on Bibsonomy Parallel Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Sid Ahmed Ali Touati, Frederic Brault, Karine Deschinkel, Benoît Dupont de Dinechin Efficient Spilling Reduction for Software Pipelined Loops in Presence of Multiple Register Types in Embedded VLIW Processors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Shorin Kyo, Shin'ichiro Okazaki IMAPCAR: A 100 GOPS In-Vehicle Vision Processor Based on 128 Ring Connected Four-Way VLIW Processing Elements. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Luca Sterpone, Davide Sabena, Salvatore Campagna, Matteo Sonza Reorda Fault injection analysis of transient faults in clustered VLIW processors. Search on Bibsonomy DDECS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Markus Ulbricht 0002, Mario Schölzel, Tobias Koal, Heinrich Theodor Vierhaus A new hierarchical built-in self-test with on-chip diagnosis for VLIW processors. Search on Bibsonomy DDECS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Christian Bernard, Fabien Clermidy A low-power VLIW processor for 3GPP-LTE complex numbers processing. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Nayan V. Mujadiya Instruction Scheduling on Variable Latency Functional Units of VLIW Processors. Search on Bibsonomy ISED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Ittetsu Taniguchi, Mitsuya Uchida, Hiroyuki Tomiyama, Masahiro Fukui, Praveen Raghavan, Francky Catthoor An Energy Aware Design Space Exploration for VLIW AGU Model with Fine Grained Power Gating. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Vassilios A. Chouliaras, George Lentaris, Dionisios I. Reisis, David Stevens Customizing a VLIW Chip Multiprocessor for Motion Estimation Algorithms. Search on Bibsonomy ARCS Workshops The full citation details ... 2011 DBLP  BibTeX  RDF
16Yuanwu Lei, Yong Dou, Jie Zhou 0007, Sufeng Wang VPFPAP: A Special-Purpose VLIW Processor for Variable-Precision Floating-Point Arithmetic. Search on Bibsonomy FPL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Timothy Anderson, Duc Bui, Shriram Moharil, Soujanya Narnur, Mujibur Rahman, Anthony Lell, Eric Biscondi, Ashish Shrivastava, Peter Dent, Mingjian Yan, Hasan Mahmood A 1.5 Ghz VLIW DSP CPU with Integrated Floating Point and Fixed Point Instructions in 40 nm CMOS. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Nachiket Kapre, André DeHon VLIW-SCORE: Beyond C for sequential control of SPICE FPGA acceleration. Search on Bibsonomy FPT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Xuemeng Zhang, Hui Wu 0001, Jingling Xue An efficient heuristic for instruction scheduling on clustered vliw processors. Search on Bibsonomy CASES The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Yuanwu Lei, Yong Dou, Li Shen, Jie Zhou 0007, Song Guo 0003 Special-purposed VLIW architecture for IEEE-754 quadruple precision elementary functions on FPGA. Search on Bibsonomy ICCD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Francesco Menichelli, Mauro Olivieri, Simone Smorfa Performance evaluation of Jpeg2000 implementation on VLIW cores, SIMD cores and multi-cores. Search on Bibsonomy ISCAS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Xiaoyan Jia, Gerhard P. Fettweis Integration of code optimization and hardware exploration for a VLIW architecture by using fuzzy control system. Search on Bibsonomy SoCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Vincent Brost, Charles Meunier, Debyo Saptono, Fan Yang 0019 Flexible VLIW processor based on FPGA for real-time image processing. Search on Bibsonomy DASIP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Lidan Bao, Hongmei Wang, Tiejun Zhang, Donghui Wang, Chaohuan Hou Improvement on branch scheduling for VLIW architecture. Search on Bibsonomy ASICON The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Mario Schölzel Fine-Grained Software-Based Self-Repair of VLIW Processors. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Hsien-Ching Hsieh, Shui-An Wen, Che-Yu Liao, Huang-Lun Lin, Po-Han Huang, Shing-Wu Tung Low power design and dynamic power management system for VLIW DSP subsystem. Search on Bibsonomy ISPACS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Guillermo Payá Vayá Design and analysis of a generic VLIW processor for multimedia applications. Search on Bibsonomy 2011   RDF
16Thorsten Jungeblut Entwurfsraumexploration ressourceneffizienter VLIW-Prozessoren. Search on Bibsonomy 2011   RDF
16Jui-Chun Chen, Chang Hong Lin Improved Dictionary-Based Code-Compression Schemes with XOR Reference for RISC/VLIW Architecture. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Jih-Ching Chiu, Kai-Ming Yang A Novel instruction stream buffer for VLIW architectures. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Yung-Yuan Chen, Kuen-Long Leu Reliable data path design of VLIW processor cores with comprehensive error-coverage assessment. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16D. S. Ivanov Register allocation with instruction scheduling for VLIW-architectures. Search on Bibsonomy Program. Comput. Softw. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Guillermo Payá Vayá, Javier Martín-Langerwerf, Peter Pirsch A Multi-Shared Register File Structure for VLIW Processors. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Meng Wang 0005, Yi Wang 0003, Duo Liu, Zhiwei Qin 0004, Zili Shao Compiler-assisted leakage-aware loop scheduling for embedded VLIW DSP processors. Search on Bibsonomy J. Syst. Softw. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Christoph W. Kessler Compiling for VLIW DSPs. Search on Bibsonomy Handbook of Signal Processing Systems The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Tobias Koal, Heinrich Theodor Vierhaus A software-based self-test and hardware reconfiguration solution for VLIW processors. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16David Stevens, Vassilios A. Chouliaras LE1: A Parameterizable VLIW Chip-Multiprocessor with Hardware PThreads Support. Search on Bibsonomy ISVLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Meng-Hsuan Cheng, Kenn Slagter, Tai-Wen Lung, Yeh-Ching Chung A VLIW-Based Post Compilation Framework for Multimedia Embedded DSPs with Hardware Specific Optimizations. Search on Bibsonomy MTPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Stephan Wong, Fakhar Anjam, Faisal Nadeem Dynamically reconfigurable register file for a softcore VLIW processor. Search on Bibsonomy DATE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Jimmy Bahuleyan, Rahul Nagpal, Y. N. Srikant Integrated energy-aware cyclic and acyclic scheduling for clustered VLIW processors. Search on Bibsonomy IPDPS Workshops The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Manoj Gupta 0001, Fermín Sánchez, Josep Llosa A low cost split-issue technique to improve performance of SMT clustered VLIW processors. Search on Bibsonomy IPDPS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Fakhar Anjam, Stephan Wong, Faisal Nadeem A shared reconfigurable VLIW multiprocessor system. Search on Bibsonomy IPDPS Workshops The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Fakhar Anjam, Stephan Wong, Faisal Nadeem A multiported register file with register renaming for configurable softcore VLIW processors. Search on Bibsonomy FPT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Fakhar Anjam, Muhammad Faisal Nadeem, Stephan Wong A VLIW softcore processor with dynamically adjustable issue-slots. Search on Bibsonomy FPT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Claude-Pierre Jeannerod, Christophe Mouilleron, Jean-Michel Muller, Guillaume Revy, Christian Bertin, Jingyan Jourdan-Lu, Herve Knochel, Christophe Monat Techniques and tools for implementing IEEE 754 floating-point arithmetic on VLIW integer processors. Search on Bibsonomy PASCO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Guillermo Payá Vayá, Javier Martín-Langerwerf, Holger Blume, Peter Pirsch A forwarding-sensitive instruction scheduling approach to reduce register file constraints in VLIW architectures. Search on Bibsonomy ASAP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Che-Wei Lin, Chang Hong Lin A Power-saving Code-compression Design for the VLIW Embedded Systems. Search on Bibsonomy ESA The full citation details ... 2010 DBLP  BibTeX  RDF
16Chengpin Tseng, Chiuyun Hung, De-Sheng Chen, Kuei-Chung Chang, Yiwen Wang 0003 Application Specific Instruction Set Exploration on VLIW Architectures. Search on Bibsonomy ESA The full citation details ... 2010 DBLP  BibTeX  RDF
16Chia-Han Lu, Yung-Chia Lin, Yi-Ping You, Jenq Kuen Lee LC-GRFA: global register file assignment with local consciousness for VLIW DSP processors with non-uniform register files. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Takahisa Wada, Shunichi Ishiwata, Katsuyuki Kimura, Keiri Nakanishi, Masato Sumiyoshi, Takashi Miyamori, Masaki Nakagawa A VLIW Vector Media Coprocessor With Cascaded SIMD ALUs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16C. Bruel If-conversion for embedded VLIW architectures. Search on Bibsonomy Int. J. Embed. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Ya-Shuai Lü, Li Shen 0007, Libo Huang, Zhiying Wang 0003, Nong Xiao Optimal subgraph covering for customisable VLIW processors. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Nayan V. Mujadiya Instruction scheduling for VLIW processors under variation scenario. Search on Bibsonomy ICSAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Zheng Shen, Hu He 0001, Yihe Sun Simultaneous Multithreading VLIW DSP Architecture with Dynamic Dispatch Mechanism. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16David Stevens, Nick Glynn, Panagiotis Galiatsatos, Vassilios A. Chouliaras, Dionysios I. Reisis Evaluating the performance of a configurable, extensible VLIW processor in FFT execution. Search on Bibsonomy ICECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Vassilios A. Chouliaras, Konstantinos Manolopoulos, Dionysios I. Reisis A configurable length, Fused Multiply-Add floating point unit for a VLIW processor. Search on Bibsonomy SoCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Manoj Gupta 0001, Fermín Sánchez, Josep Llosa Thread Merging Schemes for Multithreaded Clustered VLIW Processors. Search on Bibsonomy ICPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Guillermo Payá Vayá, Javier Martín-Langerwerf, Florian Giesemann, Holger Blume, Peter Pirsch Instruction merging to increase parallelism in VLIW architectures. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Mattias V. Eriksson, Christoph W. Kessler Integrated Modulo Scheduling for Clustered VLIW Architectures. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Guillermo Talavera Scratchpad-oriented address generation for low-power embedded VLIW processors. Search on Bibsonomy 2009   RDF
16David Atienza, Praveen Raghavan, José Luis Ayala, Giovanni De Micheli, Francky Catthoor, Diederik Verkest, Marisa López-Vallejo Joint hardware-software leakage minimization approach for the register file of VLIW embedded architectures. Search on Bibsonomy Integr. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Miquel Pericàs, Eduard Ayguadé, Javier Zalamea, Josep Llosa, Mateo Valero Power-efficient VLIW design using clustering and widening. Search on Bibsonomy Int. J. Embed. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 1076 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license