The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "VLSI-SoC"( http://dblp.L3S.de/Venues/VLSI-SoC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ifip10-5

Publication years (Num. hits)
2001 (39) 2002-2003 (80) 2005 (21) 2006 (76) 2007 (62) 2009-2010 (85) 2011 (84) 2012 (61) 2013 (83) 2014 (45) 2015 (65) 2016 (50) 2017 (48) 2018 (50) 2019 (65) 2020 (42) 2021 (45) 2022 (91) 2023 (52)
Publication types (Num. hits)
inproceedings(1124) proceedings(20)
Venues (Conferences, Journals, ...)
VLSI-SoC(1144)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1144 publication records. Showing 1144 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Hyunsun Park, Chanha Kim, Sungjoo Yoo, Chanik Park Filtering dirty data in DRAM to reduce PRAM writes. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hyungil Park, Ingi Lim, Sungweon Kang, Whan-woo Kim 10Mbps human body communication SoC for BAN. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Namhyung Kim, Junwhan Ahn, Woong Seo, Kiyoung Choi Energy-efficient exclusive last-level hybrid caches consisting of SRAM and STT-RAM. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Lin Wei, Lei Zhou An equilibrium partitioning method for multicast traffic in 3D NoC architecture. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Masahiro Fujita Analysis and testing on delays with two time frames. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1S. E. Kim, T. W. Kang, S. W. Kang, K. H. Park, M. A. Chung High-efficiency voltage regulation stage in energy harvesting systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Youngsoo Shin, Chi-Ying Tsui Message from the technical program chairs. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ramin Bashizade, Hamid Sarbazi-Azad Traffic-aware buffer reconfiguration in on-chip networks. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Gabriele Miorandi, Alberto Ghiribaldi, Steven M. Nowick, Davide Bertozzi Crossbar replication vs. sharing for virtual channel flow control in asynchronous NoCs: A comparative study. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jesus-Andres Mendoza-Bonilla, Alejandro Cortez-Ibarra, Edgar-Andrei Vega-Ochoa, Francisco Rangel-Patino, Brandon Gore Backplane/FDA correlation-FDA replacing commercial backplanes for SoC ethernet electrical validation. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Davide Sabena, Matteo Sonza Reorda, Luca Sterpone Soft error effects analysis and mitigation in VLIW safety-critical applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Moon Gi Seok, Daejin Park, Geun Rae Cho, Tag Gon Kim Framework for simulation of the Verilog/SPICE mixed model: Interoperation of Verilog and SPICE simulators using HLA/RTI for model reusability. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Matthieu Dubois, Haralampos-G. D. Stratigopoulos, Salvador Mir, Manuel J. Barragán Evaluation of digital ternary stimuli for dynamic test of ΣΔ ADCs. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Zeineb Bel Hadj Amor, Laurence Pierre, Dominique Borrione A tool for the automatic TLM-to-RTL conversion of embedded systems requirements for a seamless verification flow. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Dominik Auras, Uwe Deidersen, Rainer Leupers, Gerd Ascheid VLSI design of a parallel MCMC-based MIMO detector with multiplier-free Gibbs samplers. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Dongwoo Lee, Kiyoung Choi Energy-efficient partitioning of hybrid caches in multi-core architecture. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Liang Wang 0020, Xiaohang Wang 0001, Terrence S. T. Mak Dynamic programming-based lifetime aware adaptive routing algorithm for Network-on-Chip. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Alfonso Martínez-Cruz, Ricardo Barrón Fernández, Herón Molina-Lozano Automated functional coverage directed for complex digital systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Matthias Jung 0001, Christian Weis, Norbert Wehn, MohammadSadegh Sadri, Luca Benini Optimized active and power-down mode refresh control in 3D-DRAMs. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Zoltán Endre Rákossy, Farhad Merchant, Axel Acosta-Aponte, S. K. Nandy 0001, Anupam Chattopadhyay Scalable and energy-efficient reconfigurable accelerator for column-wise givens rotation. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Bernard van Gastel, Freek Verbeek, Julien Schmaltz Inference of channel types in micro-architectural models of on-chip communication networks. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Gunti Nagendra Babu, Aman Khatri, Karthikeyan Lingasubramanian Realizing a security aware triple modular redundancy scheme for robust integrated circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jeffrey McDaniel, Daniel T. Grissom, Philip Brisk Multi-terminal PCB escape routing for digital microfluidic biochips using negotiated congestion. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sreenivaas S. Muthyala, Nur A. Touba Reducing test time for 3D-ICs by improved utilization of test elevators. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hari Anand Ravi, Mayank Goel, Prasad Bhilawadi Circuit to reduce Gate Induced Drain Leakage in CMOS output buffers. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Zoltán Endre Rákossy Modeling, analysis and exploration of layers: A 3D computing architecture. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Giovanni Bruni, Paolo Rech, Lucas A. Tambara, Gabriel L. Nazar, Fernanda Gusmão de Lima Kastensmidt, Ricardo Reis 0001, Alessandro Paccagnella Power dissipation effects on 28nm FPGA-based System on Chips neutron sensitivity. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Lorena Garcia (eds.) 22nd International Conference on Very Large Scale Integration, VLSI-SoC, Playa del Carmen, Mexico, October 6-8, 2014 Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  BibTeX  RDF
1Jeffrey McDaniel, Brendon Parker, Philip Brisk Simulated annealing-based placement for microfluidic large scale integration (mLSI) chips. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Manoj Kumar 0001, Vijay Laxmi, Manoj Singh Gaur, Masoud Daneshtalab, Mark Zwolinski A novel non-minimal turn model for highly adaptive routing in 2D NoCs. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Wei-Yu Tsai, Huichu Liu, Xueqing Li, Vijaykrishnan Narayanan Low-power high-speed current mode logic using Tunnel-FETs. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1K. Chibani, Mohamed Ben Jrad, Michele Portolan, Régis Leveugle Fast accurate evaluation of register lifetime and criticality in a pipelined microprocessor. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Farshad Moradi, Jens Kargaard Madsen Improved read and write margins using a novel 8T-SRAM cell. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hiroyuki Yamauchi, Worawit Somha Deconvolution algorithm dependencies of estimation errors of RTN effects on subnano-scaled SRAM margin variation. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shuping Zhang, Jinjia Zhou, Dajiang Zhou, Satoshi Goto A low power 720p motion estimation processor with 3D stacked memory. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sidinei Ghissoni, Eduardo A. C. da Costa, Angelo Goncalves da Luz Implementation of power efficient multicore FFT datapaths by reordering the twiddle factors. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ruping Cao, John Ferguson, Fabien Gays, Youssef Drissi, Alexandre Arriordaz, Ian O'Connor Silicon photonics design rule checking: Application of a programmable modeling engine for non-Manhattan geometry verification. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Thomas Marconi, Christian Spagnol, Emanuel M. Popovici, Sorin Cotofana Towards energy effective LDPC decoding by exploiting channel noise variability. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Javier Osorio Figueroa, Mónico Linares Aranda Study of on-chip vias of resonant rotary traveling wave oscillators. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kanchan Manna, Santanu Chattopadhyay, Indranil Sengupta 0001 Through silicon via placement and mapping strategy for 3D mesh based Network-on-Chip. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Masahiro Fujita, Alan Mishchenko Logic synthesis and verification on fixed topology. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Andrew A. Kennings, Nima Karimpour Darav, Laleh Behjat Detailed placement accounting for technology constraints. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Vladan Popovic, Yusuf Leblebici Reconfigurable forward homography estimation system for real-time applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Matheus T. Moreira, Ney Laert Vilar Calazans Advances on the state of the art in QDI design. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Masaki Nakanishi, Miki Matsuyama, Yumi Yokoo A quantum algorithm processor architecture based on register reordering. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Régis Leveugle, Paolo Maistri, Pierre Vanhauwaert, Feng Lu, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre, Athanasios Papadimitriou, David Hély, Vincent Beroulle, Guillaume Hubert, Stephan De Castro, Jean-Max Dutertre, Alexandre Sarafianos, Noemie Boher, Mathieu Lisart, Joel Damiens, Philippe Candelier, Clément Tavernier Laser-induced fault effects in security-dedicated circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Filippo Cucchetto, Alessandro Lonardi, Graziano Pravadelli A common architecture for co-simulation of SystemC models in QEMU and OVP virtual platforms. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sk Subidh Ali, Ozgur Sinanoglu, Ramesh Karri AES design space exploration new line for scan attack resiliency. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Zhen Li 0046, Sébastien Le Beux, Ian O'Connor, Christelle Monat, Xavier Letartre Complementary logic interface for high performan optical computing with OLUT. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Alberto Nannarelli Decimal engine for energy-efficient multicore processors. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Laurent Chusseau, Rachid Omarouayache, Jérémy Raoult, Sylvie Jarrix, Philippe Maurine, Karim Tobich, Alexandre Boyer, Bertrand Vrignon, John Shepherd 0004, Thanh-Ha Le, Maël Berthier, Lionel Rivière, Bruno Robisson, Anne-Lise Ribotta Electromagnetic analysis, deciphering and reverse engineering of integrated circuits (E-MATA HARI). Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shilpa Pendyala, Srinivas Katkoori Self similarity and interval arithmetic based leakage optimization in RTL datapaths. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Paolo Maistri, Régis Leveugle, Lilian Bossuet, Alain Aubert, Viktor Fischer, Bruno Robisson, Nicolas Moro, Philippe Maurine, Jean-Max Dutertre, Mathieu Lisart Electromagnetic analysis and fault injection onto secure circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Katell Morin-Allory, Fatemeh Negin Javaheri, Dominique Borrione SyntHorus-2: Automatic prototyping from PSL. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Abdulkadir Akin, Ipek Baz, Luis Manuel Gaemperle, Alexandre Schmid, Yusuf Leblebici Compressed look-up-table based real-time rectification hardware. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yuhui Bai, Syed Zahid Ahmed, Imen Mhedhbi, Khalil Hachicha, Cedric Champion, Patrick Garda, Bertrand Granado FPGA vs DSP: A throughput and power efficiency comparison for Hierarchical Enumerative Coding. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sani R. Nassif, Yale N. Patt, Magdy S. Abadir Keynote 1 - VLSI 2.0: R&D Post Moore. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sungho Kim, Urs Frey An inverter-based neural amplifier for neural spike detection. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chien-Hung Kuo, Cin-De Jhang A center-aligned digital pulse-width modulator for envelope modulation of polar transmitters. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mehmet Burak Aykenar, Muhammet Ozgur, Osman Seckin Simsek, Oguz Ergin Adapting the columns of storage components for lower static energy dissipation. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Joachim Knoch, Thomas Grap, Marcel Müller Gate-controlled doping in carbon-based FETs. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hussain A. Alzaher, Noman Tasadduq Fully electronically programmable complex filter for multistandard applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Elmira Karimi, Mohammad Hashem Haghbayan, Adele Maleki, Mahmoud Tabandeh Graph based fault model definition for bus testing. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kostas Tsoumanis, Constantinos Efstathiou, Nikolaos Moschopoulos, Kiamal Z. Pekmestzi On the design of modulo 2n±1 residue generators. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jie Meng, Tiansheng Zhang, Ayse K. Coskun Dynamic cache pooling for improving energy efficiency in 3D stacked multicore processors. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Melvin Eze, Ozcan Ozturk 0001, Vijaykrishnan Narayanan Staggered latch bus: A reliable offset switched architecture for long on-chip interconnect. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hailong Jiao, Volkan Kursun Characterization of mode transition timing overhead for net energy savings in low-noise MTCMOS circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Bertrand Pelloux-Prayer, Alexandre Valentian, Bastien Giraud, Yvain Thonnart, Jean-Philippe Noel, Philippe Flatresse, Edith Beigné Fine grain multi-VT co-integration methodology in UTBB FD-SOI technology. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mohand Bentobache, Ahcène Bounceur, Reinhardt Euler, Yann Kieffer, Salvador Mir New techniques for selecting test frequencies for linear analog circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Aydin Aysu, Murat Sayinta, Cevahir Cigla Low cost FPGA design and implementation of a stereo matching system for 3D-TV applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Assia Hamouda, Rüdiger Arnold, Otto Manck, Nour-Eddine Bouguechal 7.72 ppm/°C, ultralow power, high PSRR CMOS bandgap reference voltage. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Somayeh Timarchi, Maryam Saremi, Mahmood Fazlali, Georgi Gaydadjiev High-speed Binary Signed-Digit RNS adder with posibit and negabit encoding. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yusuf Adibelli, Ilker Hamzaoglu A high performance and low energy hardware for intra prediction with Template Matching. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Wael Adi, Shaza Zeitouni, X. Huang, Marc Fyrbiak, Christian Kison, Marc Jeske, Z. Alnahhas IP-core protection for a non-volatile Self-reconfiguring SoC environment. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yankin Tanurhan, Pieter van der Wolf Processors as SoC building blocks. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Soumya Banerjee 0004, Kai Da Zhao, Wenjing Rao, Milos Zefran Decentralized self-balancing systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Vazgen Melikyan, Abraham Balabanyan, Armen Durgaryan, Harutyun Stepanyan, Karen Sloyan, Hovik Musayelyan, Gayane Markosyan PVT variation detection and compensation methods for high-speed systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Keni Qiu, Mengying Zhao, Chenchen Fu, Chun Jason Xue Data re-allocation enabled cache locking for embedded systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kosuke Oshima, Takeshi Matsumoto, Masahiro Fujita A debugging method for gate level circuit designs by introducing programmability. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Dogan Ulus, Alper Sen 0001, I. Faik Baskaya Analog layer extensions for analog/mixed-signal assertion languages. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Manel Elloumi, Mohamed Krid, Dorra Sellami Masmoudi Implementation of Neuro-Fuzzy System based image edge detection. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Levent Aksoy, Paulo F. Flores, José Monteiro 0001 Towards the least complex time-multiplexed constant multiplication. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Anna Bernasconi 0001, Valentina Ciriani, Gabriella Trucco, Tiziano Villa Minimization of EP-SOPs via Boolean relations. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mostafa Rahimi Azghadi, Said F. Al-Sarawi, Nicolangelo Iannella, Derek Abbott A new compact analog VLSI model for Spike Timing Dependent Plasticity. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Masahiro Fujita, Takeshi Matsumoto, Satoshi Jo FOF: Functionally Observable Fault and its ATPG techniques. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Qiuling Zhu, Navjot Garg, Yun-Ta Tsai, Kari Pulli An energy efficient time-sharing pyramid pipeline for multi-resolution computer vision. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yajuan He, Bo Chen, Qiang Li 0021 Blind-LMS based digital background calibration for a 14-Bit 200-MS/s pipelined ADC. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Michael Schaffner, Pascal Hager, Lukas Cavigelli, Pierre Greisen, Frank K. Gürkaynak, Hubert Kaeslin A real-time 720p feature extraction core based on Semantic Kernels Binarized. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ali Fazli Yeknami, Atila Alvandpour A 0.7-V 400-nW fourth-order active-passive ΔΣ modulator with one active stage. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Tetsuro Hamada, Qian Zhao 0001, Motoki Amagasaki, Masahiro Iida, Morihiro Kuga, Toshinori Sueyoshi Three-dimensional stacking FPGA architecture using face-to-face integration. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Majid Zamani, Clemens Eder, Andreas Demosthenous Analog-to-digital converters power dissipation limits of CBSC-based pipelined. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Francisco-Jose Sanchis-Cases, Antonio Martínez-Álvarez, Sergio Cuenca-Asensi Automatic mapping of OpenCV based systems on new heterogeneous SoCs. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Martin Margala, Ricardo Augusto da Luz Reis, Alex Orailoglu, Luigi Carro, Luís Miguel Silveira, H. Fatih Ugurdag (eds.) 21st IEEE/IFIP International Conference on VLSI and System-on-Chip, VLSI-SoC 2013, Istanbul, Turkey, October 7-9, 2013 Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  BibTeX  RDF
1Jonathan van de Belt, Paul D. Sutton, Linda Doyle Accelerating software radio: Iris on the Zynq SoC. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Vijay Sheshadri, Vishwani D. Agrawal, Prathima Agrawal Power-aware SoC test optimization through dynamic voltage and frequency scaling. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Debora Matos, Cezar Reinbrecht, Tiago Motta, Altamiro Amadeu Susin A power-efficient hierarchical network-on-chip topology for stacked 3D ICs. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sharareh Zamanzadeh, Ali Jahanian 0001 Automatic netlist scrambling methodology in ASIC design flow to hinder the reverse engineering. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Alp Arslan Bayrakci On the accuracy of Monte Carlo yield estimators. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Farshad Eshghabadi, Fatemeh Banitorfian, Norlaili Mohd Noh, Mohd Tafir Mustaffa, Asrulnizam Bin Abd Manaf, Othman Sidek Multi-band tunable low noise amplifiers operating at 850MHz and 1900MHz standards. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jongbum Park, Jongpil Jung, Kang Yi, Chong-Min Kyung Static energy minimization of 3D stacked L2 cache with selective cache compression. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 1144 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license