The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for floorplan with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1982-1989 (24) 1990-1992 (19) 1993-1994 (17) 1995-1996 (17) 1997-1998 (19) 1999 (16) 2000 (18) 2001 (16) 2002 (25) 2003 (27) 2004 (37) 2005 (39) 2006 (47) 2007 (44) 2008 (31) 2009 (27) 2010-2012 (18) 2013-2015 (23) 2016 (16) 2017-2018 (17) 2019-2020 (23) 2021-2022 (27) 2023 (21) 2024 (5)
Publication types (Num. hits)
article(180) incollection(10) inproceedings(381) phdthesis(2)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 322 occurrences of 191 keywords

Results
Found 573 publication records. Showing 573 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
11Azadeh Davoodi, Ankur Srivastava 0001 Power-driven simultaneous resource binding and floorplanning: a probabilistic approach. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Yuchun Ma, Xianlong Hong, Sheqin Dong, Song Chen 0001, Chung-Kuan Cheng, Jun Gu Buffer planning as an Integral part of floorplanning with consideration of routing congestion. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Lei Cheng 0001, Liang Deng, Martin D. F. Wong Floorplanning for 3-D VLSI design. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Jia Wang 0003, Hai Zhou 0001 Interconnect estimation without packing via ACG floorplans. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Xiaoping Tang, Ruiqi Tian, Martin D. F. Wong Optimal redistribution of white space for wire length minimization. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Tung-Chieh Chen, Yao-Wen Chang Modern floorplanning based on fast simulated annealing. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF simulated annealing, floorplanning
11Chang-Tzu Lin, De-Sheng Chen, Yiwen Wang 0003, Hsin-Hsien Ho Modem floorplanning with abutment and fixed-outline constraints. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Song Chen 0001, Xianlong Hong, Sheqin Dong, Yuchun Ma, Chung-Kuan Cheng VLSI block placement with alignment constraints based on corner block list. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Zhuoyuan Li, Xianlong Hong, Qiang Zhou 0001, Yici Cai, Jinian Bian, Hannal Yang, Prashant Saxena, Vijay Pitchumani A divide-and-conquer 2.5-D floorplanning algorithm based on statistical wirelength estimation. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Chih-Hung Lee, Chin-Hung Su, Shih-Hsu Huang, Chih-Yuan Lin, Tsai-Ming Hsieh Floorplanning with clock tree estimation. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Yunfeng Wang, Jinian Bian, Xianlong Hong Interconnect delay optimization via high level re-synthesis after floorplanning. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Dongku Kang, Yiran Chen 0001, Kaushik Roy 0001 Power Supply Noise-Aware Scheduling and Allocation for DSP Synthesis. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Jing Liu 0006, Weicai Zhong, Licheng Jiao Moving Block Sequence and Organizational Evolutionary Algorithm for General Floorplanning. Search on Bibsonomy CIS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11S. A. Moghaddam, Nasser Masoumi, Caro Lucas A Stochastic Power-Supply Noise Reduction Technique Using Max-Flow Algorithm and Decoupling Capacitance. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Shinn-Ying Ho, Shinn-Jang Ho, Yi-Kuang Lin, W. C.-C. Chu An orthogonal simulated annealing algorithm for large floorplanning problems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Hao Li, Wai-Kei Mak, Srinivas Katkoori Force-Directed Performance-Driven Placement Algorithm for FPGAs. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Richard Auletta Expert System Perimeter Block Placement Floorplanning. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Yiran Chen 0001, Kaushik Roy 0001, Cheng-Kok Koh Priority assignment optimization for minimization of current surge in high performance power efficient clock-gated microprocessor. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Zion Cien Shen, Chris C. N. Chu Accurate and efficient flow based congestion estimation in floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Chang-Tzu Lin, De-Sheng Chen, Yiwen Wang 0003 Robust fixed-outline floorplanning through evolutionary search. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Andrew B. Kahng, Ion I. Mandoiu, Qinke Wang, Xu Xu 0001, Alexander Zelikovsky Multi-project reticle floorplanning and wafer dicing. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multi-project wafers, reticle design, wafer dicing
11Saurabh N. Adya, S. Chaturvedi, Jarrod A. Roy, David A. Papa, Igor L. Markov Unification of partitioning, placement and floorplanning. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Jennifer L. Wong, Azadeh Davoodi, Vishal Khandelwal, Ankur Srivastava 0001, Miodrag Potkonjak Wire-length prediction using statistical techniques. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Yan Feng, Dinesh P. Mehta Constrained Floorplanning with Whitespace. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Faran Rafiq, Malgorzata Chrzanowska-Jeske, Hannah Honghua Yang, Marcin Jeske, Naveed A. Sherwani Integrated floorplanning with buffer/channel insertion for bus-based designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Yuchun Ma, Xianlong Hong, Sheqin Dong, Song Chen 0001, Yici Cai, Chung-Kuan Cheng, Jun Gu An integrated floorplanning with an efficient buffer planning algorithm. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF floorplanning, buffer insertion, routability
11Yangdong Deng, Wojciech Maly Physical Design of the "2.5D" Stacked System. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Song Chen 0001, Xianlong Hong, Sheqin Dong, Yuchun Ma, Yici Cai, Chung-Kuan Cheng, Jun Gu Evaluating a bounded slice-line grid assignment in O(nlogn) time. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Terry Tao Ye, Giovanni De Micheli Physical Planning for On-Chip Multiprocessor Networks and Switch Fabrics. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Davide Pandini, Lawrence T. Pileggi, Andrzej J. Strojwas Bounding the efforts on congestion optimization for physical synthesis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF optimization, logic synthesis, physical design, technology mapping, routing congestion
11Russell Tessier Fast placement approaches for FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF field-programmable gate arrays, synthesis, layout, Computer-aided design of VLSI
11Changwen Zhuang, Yoji Kajitani, Keishi Sakanushi, Liyan Jin An Enhanced Q-Sequence Augmented with Empty-Room-Insertion and Parenthesis Trees. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Juan de Vicente, Juan Lanchares, Román Hermida FPGA Placement by Thermodynamic Combinatorial Optimization. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Nicholas Chia-Yuan Chang, Yao-Wen Chang, Iris Hui-Ru Jiang Formulae for Performance Optimization and Their Applications to Interconnect-Driven Floorplanning. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Interconnect-Driven Floorplanning, Performance Optimization
11Stelian Alupoaei, Srinivas Katkoori Net Clustering Based Macrocell Placement. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Macrocell placement, net clustering, net placement, net prioritization, force-directed placement, iterative improvement
11Yukiko Kubo, Shigetoshi Nakatake, Yoji Kajitani, Masahiro Kawakita Chip size estimation based on wiring area. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Xiaoping Tang, D. F. Wong 0001 Floorplanning with alignment and performance constraints. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF floorplanning, longest common subsequence, sequence pair
11I-Min Liu, Hung-Ming Chen, Tan-Li Chou, Adnan Aziz, D. F. Wong 0001 Integrated power supply planning and floorplanning. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Shigetoshi Nakatake, Yukiko Kubo, Yoji Kajitani Consistent floorplanning with super hierarchical constraints. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Shuo Zhou, Sheqin Dong, Chung-Kuan Cheng, Jun Gu ECBL: an extended corner block list with solution space including optimum placement. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Subhashis Majumder, Susmita Sur-Kolay, Bhargab B. Bhattacharya, Subhas C. Nandy Area(number)-balanced hierarchy of staircase channels with minimum crossing nets. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Evangeline F. Y. Young, Martin D. F. Wong, Hannah Honghua Yang Slicing floorplans with range constraint. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Florin Balasa, Koen Lampaert Symmetry within the sequence-pair representation in the context ofplacement for analog design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Dinesh P. Mehta, Naveed A. Sherwani On the use of flexible, rectilinear blocks to obtain minimum-area floorplans in mixed block and cell designs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF mixed block and cell designs, floorplanning, rectilinear polygons
11Milan Vasilko, Graham Benyon-Tinker Automatic Temporal Floorplanning with Guaranteed Solution Feasibility. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Yun-Chih Chang, Yao-Wen Chang, Guang-Ming Wu, Shu-Wei Wu B*-Trees: a new representation for non-slicing floorplans. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Robert P. Dick, Niraj K. Jha MOCSYN: Multiobjective Core-Based Single-Chip System Synthesis. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Fung Yu Young, D. F. Wong 0001 Slicing Floorplans with Boundary Constraint. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Kia Bazargan, Ryan Kastner, Majid Sarrafzadeh 3-D Floorplanning: Simulated Annealing and Greedy Placement Methods for Reconfigurable Computing Systems. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 1999 DBLP  DOI  BibTeX  RDF 3-D floorplanning, Reconfigurable computing, floorplanning
11Yi Kang, Wei Huang, Seung-Moon Yoo, Diana Keen, Zhenzhou Ge, Vinh Vi Lam, Josep Torrellas, Pratap Pattnaik FlexRAM: Toward an Advanced Intelligent Memory System. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Darren C. Cronquist, Chris Fisher, Miguel E. Figueroa, Paul Franklin, Carl Ebeling Architecture Design of Reconfigurable Pipelined Datapaths. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF High-speed computation, Pipelining, Signal processing, Reconfigurable architectures, Configurable computing
11Jim E. Crenshaw, Majid Sarrafzadeh, Prithviraj Banerjee, Pradeep Prabhakaran An Incremental Floorplanner. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Pradeep Prabhakaran, Prithviraj Banerjee, Jim E. Crenshaw, Majid Sarrafzadeh Simultaneous Scheduling, Binding and Floorplanning for Interconnect Power Optimization. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11S. A. Senouci, Aadil Amoura, Helena Krupnova, Gabriele Saucier Timing Driven Floorplanning on Programmable Hierarchical Targets. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Jürgen Koehl, Ulrich Baur, Thomas Ludwig 0004, Bernhard Kick, Thomas Pflueger A Flat, Timing-Driven Design System for a High-Performance CMOS Processor Chipset. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11John Marty Emmert, Akash Randhar, Dinesh Bhatia Fast Floorplanning for FPGAs. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Subhashis Majumder, Subhas C. Nandy, Bhargab B. Bhattacharya Partitioning VLSI Floorplans by Staircase Channels for Global Routing. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF maxflow-mincut, algorithms, complexity, partitioning, NP-completeness, Global routing
11Zinaida V. Apanovich, Alexander G. Marchuk Top-Down Approach to Technology Migration for Full-Custom Mask Layouts. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Technology migration, decomposition, compaction, rerouting
11Jianzhong Shi, Akash Randhar, Dinesh Bhatia Macro Block Based FPGA Floorplanning. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF macro block based FPGA floorplanning, FPGA based designs, very large designs, performance driven designs, constraint-based FPGA floorplanning, flexible macro blocks, fixed macro blocks, input constraint set, topological placement, FPGA architectural constraints, large benchmark examples, VLSI floorplanning, heuristic algorithm, integrated circuit layout, ASIC design
11Cheng-Hsi Chen, Ioannis G. Tollis An Omega(k2) lower bound for area optimization of spiral floorplans. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
11Takayuki Yamanouchi, Kazuo Tamakashi, Takashi Kambe Hybrid floorplanning based on partial clustering and module restructuring. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF slicing structure, clustering, placement, floorplanning
11Morteza Saheb Zamani, Graham R. Hellestrand A New Neural Network Approach to the Floorplanning of Hierarchical VLSI Designs. Search on Bibsonomy IWANN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
11Thomas Lengauer, Rolf Müller Robust and accurate hierarchical floorplanning with integrated global wiring. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
11Oliver Collins, Sam Dolinar, Robert J. McEliece, Fabrizio Pollara A VLSI Decomposition of the deBruijn Graph. Search on Bibsonomy J. ACM The full citation details ... 1992 DBLP  DOI  BibTeX  RDF deBruijn graphs, graph decomposition
11Linda Kwai-Lin Lau, Rajeev Jain, Henry Samueli, Henry T. Nicholas III, Etan G. Cohen DDFSGEN. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
11Christian Masson, Denis Barbier, Remy Escassut, Daniel Winer, Gregory Chevallier, Pierre François Zeegers CHEOPS: an integrated VLSI floor planning and chip assembly system implemented in object oriented LISP. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
11Noritake Yonezawa, Nobuyuki Nishiguchi, Atsushi Etani, Fumiaki Tsukuda, Ryuichi Hashishita A VLSI floorplanner based on "balloon" expansion. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
11Dwight D. Hill, Don Shugard Global Routing Considerations in a Cell Synthesis System. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
11Shmuel Wimer, Israel Koren, Israel Cederbaum Optimal aspect ratios of building blocks in VLSI. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
11John D. Gabbe, P. A. Subrahmanyam A Note on Clustering Modules for Floorplanning. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
11Shmuel Wimer, Israel Koren, Israel Cederbaum Optimal Aspect Ratios of Building Blocks in VLSI. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
11Antoni A. Szepieniec Integrated placement/routing in sliced layouts. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
11Hiroyuki Watanabe, Bryan D. Ackland Flute - a floorplanning agent for full custom VLSI design. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #573 of 573 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license