The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for interconnection with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1961-1972 (15) 1973-1976 (19) 1977-1979 (26) 1980 (19) 1981 (32) 1982 (48) 1983 (36) 1984 (34) 1985 (48) 1986 (62) 1987 (65) 1988 (81) 1989 (98) 1990 (110) 1991 (123) 1992 (134) 1993 (144) 1994 (198) 1995 (181) 1996 (204) 1997 (207) 1998 (153) 1999 (178) 2000 (199) 2001 (145) 2002 (215) 2003 (215) 2004 (257) 2005 (334) 2006 (312) 2007 (327) 2008 (315) 2009 (242) 2010 (138) 2011 (138) 2012 (132) 2013 (121) 2014 (103) 2015 (113) 2016 (122) 2017 (124) 2018 (95) 2019 (102) 2020 (95) 2021 (81) 2022 (84) 2023 (81) 2024 (17)
Publication types (Num. hits)
article(2309) book(5) data(1) incollection(17) inproceedings(3883) phdthesis(95) proceedings(12)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6978 occurrences of 2230 keywords

Results
Found 6322 publication records. Showing 6322 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Hassan Gomaa, Daniel A. Menascé Design and performance modeling of component interconnection patterns for distributed software architectures. Search on Bibsonomy Workshop on Software and Performance The full citation details ... 2000 DBLP  DOI  BibTeX  RDF component interconnection patterns, XML, UML, software architecture, performance model, queuing networks
19Qian-Ping Gu, Shietung Peng Efficient Protocols for Permutation Routing on All-Optical Multistage Interconnection Networks. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF WDM all-optical networks, routing algorithms, multistage interconnection networks, Permutation routing, edge-disjoint paths, BPC permutations
19Oleg G. Monakhov, Emilia A. Monakhova Parametrically Described Regular Semigroup Interconnection Networks for Large-Scale Multicomputers. Search on Bibsonomy ISPAN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Regular interconnection networks, circulant networks, parallel systems, hypercube topologies
19Ch'ng Shi Baw, Roger D. Chamberlain, Mark A. Franklin Fair Scheduling in an Optical Interconnection Network. Search on Bibsonomy MASCOTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Fair Scheduling, Optical Interconnection Networks
19Aaron Harwood, Hong Shen 0001 A Method of Trading Diameter for Reduced Degree to Construct Low Cost Interconnection Networks. Search on Bibsonomy SAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF interconnection network, cost, diameter, degree
19Yuanyuan Yang 0001 A Class of Interconnection Networks for Multicasting. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF multicast, Interconnection networks, routing algorithms, collective communication, nonblocking
19Sipra Das Bit, Arnab Chaudhuri Fault Diagnosis in a Benes Interconnection Network. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Redundant interconnection network, switching element fault, stuck-at fault, Benes network
19Suresh K. Bhogavilli, Hosame Abu-Amara Design and Analysis of High Performance Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1997 DBLP  DOI  BibTeX  RDF performance analysis, delay, throughput, Multistage interconnection networks, clock cycle
19Jean-Claude Bermond, Miriam Di Ianni, Michele Flammini, Stephane Perennes Acyclic Orientations for Deadlock Prevention in Interconnection Networks (Extended Abstract). Search on Bibsonomy WG The full citation details ... 1997 DBLP  DOI  BibTeX  RDF communication in interconnection networks, routing, parallel algorithms, graph theory, computational and structural complexity
19Ding-Ming Kwai, Behrooz Parhami A Class of Fixed-Degree Cayley-Graph Interconnection Networks Derived by Pruning k-ary n-cubes. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fixed-degree network, pruning strategy, interconnection network, Cayley graph, k-ary n-cube, cube-connected cycles
19Ming-Hsing Chiu, Mostafa A. Bassiouni A Fair Congestion Control Scheme for LAN Interconnection via ATM. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Feedback congestion control, credit-based, optimal buffer sizing, Max-min fairness, LAN interconnection
19Rafic A. Ayoubi, Qutaibah M. Malluhi, Magdy A. Bayoumi The Extended Cube Connected Cycles: An Efficient Interconnection for Massively Parallel Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF routing, interconnection network, broadcasting, Hypercube, divide and conquer, cube connected cycles
19Ernst G. Bernard Efficient Fault Locations for Globally Controlled and Comparison-Based Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Bitonic merging networks, global and distributed control, built-in self test, multistage interconnection networks, fault location
19Prasant Mohapatra, Chansu Yu, Chita R. Das Allocation and Mapping Based Reliability Analysis of Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Allocation-based reliability, cubic allocation schemes, performance-related reliability, reliability, multistage interconnection network, mapping algorithms
19Paul Dietrich, Ramesh R. Rao Request Resubmission in a Blocking, Circuit-Switched, Interconnection Network. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF resubmission, performance, Parallel processing, protocols, interconnection network, nonblocking
19David M. Koppelman A Family of Interconnection Networks for Nonuniform Traffic. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF simulation, interconnection networks, Congestion, omega networks, nonuniform traffic
19José Miguel-Alonso, Agustin Arruabarrena, Ramón Beivide, José A. B. Fortes An Empirical Evaluation of Techniques for Parallel Discrete-Event Simulation of Interconnection Networks. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF interconnnection networks, synchronous simulation, Intel Paragon multicomputer, model decomposition, collaborative logical processes, process synchronization overhead, causal restrictions, message-passing network models, performance threshold, load level, scalability, parallel programming, virtual machines, message passing, multiprocessor interconnection networks, discrete event simulation, synchronisation, software performance evaluation, workload, empirical evaluation, parallel discrete-event simulation, optimistic simulation, network size, model parameters, simulator performance, message length, conservative simulation, processor number
19Faris N. Abuali, Roger L. Wainwright, Dale A. Schoenefeld Solving the subset interconnection design problem using genetic algorithms. Search on Bibsonomy SAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF interconnection design problem, genetic algorithms, minimum spanning tree
19C. Y. Roger Chen, Shuo-Hsien Hsiao, Abdulaziz S. Almazyad A new model for the performance evaluation of synchronous circuit switched multistage interconnection networks. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF performance evaluation, queueing theory, multistage interconnection networks, circuit switching
19Wei-Kuo Liao, Chung-Ta King Valved Routing: Efficient Flow Control for Adaptive Nonminimal Routing in Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF interconnection network, routing algorithm, Deadlock, flow control, starvation, router design
19Shinichi Shionoya, Takafumi Aoki, Tatsuo Higuchi 0001 Multiwave Interconnection Networks for MCM-based Parallel Processing. Search on Bibsonomy Euro-Par The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Multichip module (MCM), messagepassing multiprocessors, parallel processing, interconnection networks, optical interconnections, wavelength division multiplexing (WDM)
19Patrick W. Dowd, Kalyani Bogineni, Khaled A. Aly, James A. Perreault Addendum to "Hierarchical Scalable Photonic Architectures for High-Performance Processor Interconnection". Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF hierarchical scalable photonic architectures, high-performance processor interconnection, all-optical wavelength division multiplexed network, time multiplexed access protocol, static slot assignment, cycle synchronization, hypercube networks, wavelength division multiplexing, bandwidth allocation, optical information processing, distributed shared memory system, processor numbering
19Sotirios G. Ziavras RH: A Versatile Family of Reduced Hypercube Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF reducedhypercube interconnection networks, RH, binary hypercube, lowdiameter, edge reduction technique, lower complexity, reduced hypercube topology, popularcube-connected cycles network, computational complexity, VLSI, parallel computers, parallel architectures, topology, hypercube networks, communication channels, very large scale integration complexity
19Minze V. Chien, A. Yavuz Oruç Adaptive Binary Sorting Schemes and Associated Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF adaptive binary sorting schemes, cost complexity, AKS sorting network, parallel algorithms, parallel processing, interconnection networks, sorting, communication complexity, binary sequences, concentration, permutation networks, routing problems, permutation problems, sorting problems
19Michael D. Rice, Stephen B. Seidman A Formal Model for Module Interconnection Languages. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF module interconnection languages, hierarchical module structure design, Z schema type definitions, generic parameters, application-specific declarations, STILE, graphical design environment, formal specification, constraints, programming environments, specification languages, specification language, systems analysis, formal model, development environment, Conic, configuration language
19Patrick W. Dowd, Kalyani Bogineni, Khaled A. Aly, James A. Perreault Hierarchical Scalable Photonic Architectures for High-Performance Processor Interconnection. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF photonic architectures, optical structures, processor interconnection, single-hop, optical fiber communication, parallel architectures, discrete-event simulation, discrete event simulation, analytic models, wavelength division multiplexing, wavelength division multiplexing, optical interconnections, hierarchical, parallel computer architecture, hierarchical architectures
19Ching-Tien Ho An Observation on the Bisectional Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF bisectional interconnection networks, n-dimensional folded hypercube, bitwise Exclusive-OR, predefined bit string patterns, observation, hypercube networks, Hamming distance, isomorphism, binary matrix
19Patrick W. Dowd Wavelength Division Multiple Access Channel Hypercube Processor Interconnection. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF wavelength division multiple access channel, hypercube-based structure, optical multiple access channels, star-coupled configurations, optical self-routing characteristic, optical fibres, performance, performance analysis, parallel architectures, computer networks, multiprocessor interconnection networks, hypercube networks, wavelength division multiplexing, optical communication, optical communication, system complexity
19Arif Ghafoor, Theodore R. Bashkow A Study of Odd Graphs as Fault-Tolerant Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF odd graphs, fault-tolerant interconnection networks, binary n-cube, flip-trees, self-routing algorithms, maximal fault tolerance, partitioning property, self-diagnostics, parallel architectures, fault tolerant computing, mesh, resilience, persistence, ring, star, chordal ring, Hadamard matrices
19Insup Lee 0001, David Smitley A Synthesis Algorithm for Reconfigurable Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF reconfigurable interconnection networks, target parallel system, average-case performance prediction, simulation, performance evaluation, performance, parallel algorithm, parallel algorithms, graph theory, task graph, synthesis algorithm
19Sudhakar Yalamanchili, Jake K. Aggarwal A Characterization and Analysis of Parallel Processor Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1987 DBLP  DOI  BibTeX  RDF single-stage networks, Combinatorial power, near neighbor meshes, interconnection networks, permutations, ring networks, multistage networks, permutation groups
19William J. Dally, Charles L. Seitz Deadlock-Free Message Routing in Multiprocessor Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1987 DBLP  DOI  BibTeX  RDF message passing multiprocessors, parallel processing, interconnection networks, Communication networks, graph model, concurrent computation
19Pinaki Mazumder Evaluation of On-Chip Static Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1987 DBLP  DOI  BibTeX  RDF static interconnection networks, Binary tree, VLSI implementation, cube connected cycles, two-dimensional meshes
19Kathleen M. Nichols, David G. Messerschmitt Traffic-Specific Interconnection Networks for Multicomputers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1987 DBLP  DOI  BibTeX  RDF Algorithm-specific architectures, heuristic topology generation, interconnection network architectures, multi- computers, parallel processing, multiprocessors
19Ted H. Szymanski, V. Carl Hamacher On the Permutation Capability of Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1987 DBLP  DOI  BibTeX  RDF performance analysis, multistage interconnection networks, Banyan networks, crossbar networks
19Lois Wright Hawkes A Regular Fault-Tolerant Architecture for Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1985 DBLP  DOI  BibTeX  RDF fault tolerance, Architecture, interconnection network, fault diagnosis, distributed, graph, topology
19Nam Sung Woo, Ashok K. Agrawala A Symmetric Tree Structure Interconnection Network and its Message Traffic. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1985 DBLP  DOI  BibTeX  RDF top-down/left-right symmetric tree structure, Extended even-odd node numbering, interconnection network for VLSI implementation, message traffic analysis
19A. Yavuz Oruç, M. Yaman Oruç, Norman Balabanian Reconfiguration Alfoithms for Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1985 DBLP  DOI  BibTeX  RDF permutation map, Conjugation map, cycle map, interconnection network, functional equivalence
19Laxmi N. Bhuyan An Analysis of Processor-Memory Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1985 DBLP  DOI  BibTeX  RDF multiprocessor performance, favorite memories, Bandwidth, multistage interconnection networks, crossbar switches
19John Paul Shen, John P. Hayes Fault-Tolerance of Dynamic-Full-Access Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF Eulerian graphs, fault-tolerance, parallel processing, interconnection networks, fault diagnosis
19Clyde P. Kruskal, Marc Snir The Performance of Multistage Interconnection Networks for Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF uniform network, bidelta network, buffered network, dilated network, replicated network, square network, unbuffered network, simulation, performance analysis, throughput, Bandwidth, multistage interconnection network, packet-switching network, banyan network, circuit-switching network, delta network, crossbar network
19Krishnan Padmanabhan, Duncan H. Lawrie A Class of Redundant Path Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF redundant path networks, nonblocking networks, fault tolerance, parallel processing, interconnection networks, multiprocessor systems, Array processors, Omega networks
19Laxmi N. Bhuyan, Dharma P. Agrawal Design and Performance of Generalized Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF probability of acceptance, cost factor, generalized shuffle, m-shuffle, mixed radix number system, Bandwidth, multistage interconnection networks, network optimization, permutation and combination
19Mark A. Franklin, Donald F. Wann, William J. Thomas Pin Limitations and Partitioning of VLSI Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF Banyan, pin limitations, synchronization, interconnection networks, multiprocessors, crossbar
19George B. Adams III, Howard Jay Siegel The Extra Stage Cube: A Fault-Tolerant Interconnection Network for Supersystems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF supersystems, Extra Stage Cube, Generalized Cube, indirect binary n-cube, PUMPS, fault tolerance, parallel processing, interconnection network, Distributed processing, omega, shuffle-exchange, PASM
19Will E. Leland, Marvin H. Solomon Dense Trivalent Graphs for Processor Interconnection. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF multicomputer, undirected graphs, interconnection topology, Cubic graphs
19Shyue B. Wu, Ming T. Liu A Cluster Structure as an Interconnection Network for Large Multimicrocomputer Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF Bus load, cluster structure, large multimicrocomputer systems, interconnection network, distributed processing, topological optimization, traffic congestion, message delay
19Tse-Yun Feng, Chuan-lin Wu Fault-Diagnosis for a Class of Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF Baseline network, fault detection and location, parallel processing, fault model, multistage interconnection networks, multiple faults, single fault
19Chuan-lin Wu, Tse-Yun Feng On a Class of Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF routing techniques, parallel processing, interconnection networks, computer architecture, conflict resolution, network configurations, SIMD machine, Array processing, multiple- processor systems, MIMD machine
18Chi-Ping Lee, Jiun-Shiou Deng, Ming-Feng Lu, Chien-Ping Chang, Yang-Tung Huang, Ping-Yu Kuei Multicast Network with MMI-Based Optical Switches on Active Splitter/Active Combiner Structure. Search on Bibsonomy ISPAN The full citation details ... 2009 DBLP  DOI  BibTeX  RDF active splitter/active combiner (AS/AC) network, multimode interference (MMI), Multicast, multistage interconnection network (MIN), optical interconnection network
18Thomas William Ainsworth, Timothy Mark Pinkston Characterizing the Cell EIB On-Chip Network. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multiple data stream architectures, multiprocessors, multicore architectures, interconnection architectures, on-chip interconnection networks
18Samuel T. Chanson, Wantao Deng, Chi-Chung Hui, Xueyan Tang, Ming Yan To Multidomain Load Balancing. Search on Bibsonomy ICNP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF multidomain load balancing, Internet communication speed, lag time, load information updating, job transfer, least load scheduler, update techniques, machine load, BALANCE testbed, performance evaluation, Internet, delays, local area networks, system performance, hierarchical structure, LAN interconnection, LAN interconnection, network cost, load balancing algorithms, limited bandwidth
18Tadayoshi Horita, Itsuo Takanami A System for Efficiently Self-Reconstructing E-1½-Track Switch Torus Arrays. Search on Bibsonomy ISPAN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Hierarchical interconnection, Wafer scale integration (WSI), 3D stacked implementation, Peak number of vertical links, Interconnection networks, Massively parallel computer
18Jens Lienig Channel and Switchbox Routing with Minimized Crosstalk - A Parallel Genetic Algorithm Approach. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF minimized crosstalk, interconnection routing, interconnection crosstalk, VLSI channel routing, VLSI switchbox routing, distributed workstation network, VLSI, VLSI design, parallel genetic algorithm
18Sang-Bang Choi, Arun K. Somani Desgin and Performance Analysis of Load-Distributing Fault-Tolerant Network. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF cube interconnection network (CIN), extra link MIN (ELMIN), extra link CIN (ELCIN), packet switching, Multistage interconnection network (MIN), redundant paths
18H. Bekker, E. J. Dijkstra Delay-Insensitive Synchronization on a Message-Passing Architecture with an Open Collector Bus. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF delay-insensitive synchronization, open collector bus, high latency, constraint algorithm, SHAKE, Constraint Molecular Dynamics simulation, ring architecture, delay insensitive algorithm, performance evaluation, performance, parallel algorithms, parallel algorithms, parallel architectures, message passing, multiprocessor interconnection networks, multiprocessor interconnection networks, synchronisation, digital simulation, physics computing, system buses, communication time, message passing architecture
18Anjan K. Venkatramani, Timothy Mark Pinkston, José Duato Generalized Theory for Deadlock-Free Adaptive Wormhole Routing and its Application to Disha Concurrent. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF deadlock-free adaptive wormhole routing, Disha Concurrent, central buffers, sequential recovery, resource cost, mutual exclusive access, deadlock-free lane, tree-based networks, performance evaluation, parallel architectures, fault tolerant computing, concurrency control, multiprocessor interconnection networks, multiprocessor interconnection networks, network routing, system recovery, edge, Token, Hamiltonian path, deadlock recovery, k-ary n-cube networks
18Ming-Yang Su, Gen-Huey Chen, Dyi-Rong Duh A linear-time algorithm for computing the diameters of the incomplete WK-recursive networks. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multistage graphs, prune-and-search technique, interconnection network, multiprocessor system, multistage interconnection networks, linear-time algorithm, WK-recursive networks
18Jon M. Kleinberg, Éva Tardos Disjoint Paths in Densely Embedded Graphs. Search on Bibsonomy FOCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF densely embedded graphs, communication paths, nearly-Eulerian graphs, locally planar interconnection networks, constant-factor approximation algorithm, maximum disjoint paths, on-line setting, routing, computational geometry, heuristics, multiprocessor interconnection networks, trees (mathematics), high-speed networks, operations research, disjoint paths, two-dimensional mesh
18Nabanita Das 0001, Jayasree Dattagupta A fault location technique and alternate routing in Benes network. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fault location technique, single switch fault, recirculation, source-destination path, routing technique, exact locations, multiple switch fault detection, one bit test vectors, equivalent fault set, fault diagnosis, fault tolerant computing, reconfiguration, reconfigurable architectures, multistage interconnection networks, multistage interconnection networks, network routing, Benes network, rearrangeable network, alternate routing
18Daw-Jong Shyu, Biing-Feng Wang, Chuan Yi Tang The emulation problem on trees. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF emulation problem, optimal emulation, X-trees, m-ary trees, parallel algorithms, parallel algorithms, interconnection networks, multiprocessor interconnection networks, trees, pyramids
18Richard Games, Arkady Kanevsky, Peter C. Krupp, Leonard Monk Real-time communications scheduling for massively parallel processors. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF real-time communications scheduling, computationally intensive, lifecycle costs, large-scale scientific computing, software challenges, processing nodes, real-time application benchmarks, scheduling, performance evaluation, fault tolerance, real-time systems, parallel processing, multiprocessor interconnection networks, multiprocessor interconnection networks, real-time scheduling, processor scheduling, real-time applications, massively parallel processors, multi-level security
18Robert Cypher, Luis Gravano Storage-Efficient, Deadlock-Free Packet Routing Algorithms for Torus Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF deadlock-free packet routing algorithms, torus interconnection networks, minimal length packet routing, VLSI, multiprocessor interconnection networks, packet switching, VLSI implementation, torus networks
18Prasant Mohapatra, Chita R. Das, Tse-Yun Feng Performance Analysis of Cluster-Based Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF cluster-based multiprocessors, M/D/1/L queues, finite buffer behavior, system level analysis, results validation, buffer length, bottleneck centre identification, design configurations, simulation, simulation, performance evaluation, performance analysis, interconnection network, throughput, multiprocessor interconnection networks, queueing theory, multiprocessing systems, buffer storage, queueing model, design alternatives, decomposition technique, subsystems, processor utilization, average delay, deterministic service time
18Tse-Yun Feng, Seung-Woo Seo A New Routing Algorithm for a Class of Rearrangeable Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF butterfly connections, symmetric networks, looping algorithm, center-stage connection patterns, topological equivalence, interchangeable group, destination-tag scheme, multiprocessor interconnection networks, routing algorithm, reconfigurable architectures, multistage interconnection networks, network routing, Omega networks, rearrangeable networks
18Annette Lagman, Walid A. Najjar, Pradip K. Srimani An Analysis of Edge Fault Tolerance in Recursively Decomposable Regular Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF edge fault tolerance, recursively decomposable, edge failures, fault tolerance measures, restricted resilience, probabilistic fault tolerance measures, reliability, interconnection networks, fault tolerant computing, multiprocessor interconnection networks, network topology, network topologies, resilience, topological properties, regular networks, probabilistic measures, large scale multiprocessor
18Shahram Latifi Task Allocation in the Star Graph. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF processor interconnection, special subgraphs, substars, star code, statically optimal, recognition ability, substarrecognition, graph theory, resource allocation, parallel architectures, multiprocessor interconnection networks, task allocation, star graph, topological features
18Dilip D. Kandlur, Kang G. Shin, Domenico Ferrari Real-Time Communication in Multihop Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF unpredictable delays, task execution, predictable interprocess communication, point-to-point interconnection networks, maximum delivery time, unidirectional connection, source-destination communication, sensor station, communications subsystem, delivery time guarantees, scheduling, scheduling, performance evaluation, real-time systems, real-time systems, reliability, parallel architectures, fault tolerant computing, message passing, multiprocessor interconnection networks, real-time communication, multihop networks, performance requirements, buffer allocation, real-time channel, message delivery
18Khaled Day, Anand R. Tripathi Embedding of Cycles in Arrangement Graphs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF cycles embeddings, large multiprocessor systems, node disjoint cycles, multiprocessor interconnection networks, Hamiltonian cycles, interconnection topology, arrangement graphs
18Anujan Varma, Suresh Chalasani Fault-Tolerance Analysis of One-Sided Crosspoint Switching Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF one-sided crosspoint switching networks, crosspoint faults, multiple-bus interconnection networks, multiple-bus configurations, fault set, nonblocking switch matrix, fault-tolerance, fault tolerant computing, connectivity, multiprocessor interconnection networks, upper bounds, ports, rearrangeable
18David Goldfeld, Tuvi Etzion UPP Graphs and UMFA Networks-Architecture for Parallel Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF UMFA networks, unique path property, uniform minimal full access, interconnection networks, parallel architectures, lower bound, multiprocessor interconnection networks, isomorphism, Omega network, rearrangeability
18De-Lei Lee Architecture of an Array Processor Using a Nonlinear Skewing Scheme. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF nonlinear skewing scheme, array processor architecture, interconnection network, parallel architectures, multiprocessor interconnection networks, cellular arrays, array processor, skewing schemes
18Hong Jiang, Kenneth C. Smith PPMB: A Partial-Multiple-Bus Multiprocessor Architecture with Improved Cost-Effectiveness. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF PPMB, partial-multiple-bus multiprocessor architecture, processor-oriented partial-multiple-bus, memory-oriented partial-multiple-bus, system bandwidth, simulation, performance evaluation, design, performance analysis, interconnection networks, computer architecture, multiprocessor interconnection networks, cost-effectiveness, arbitration
18Ashwani Kumar Ramani, Pradip K. Chande, Pramod C. Sharma A General Model for Performance Investigations of Priority Based Multiprocessor System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF discrete time semi-Markov model, task priorities, crossbar interconnection network, performance evaluation, load balancing, multiprocessor interconnection networks, Markov processes, performance measures, multiprocessing systems, multiprocessor system, task scheduling, performance optimization, system performance
18Yuanyuan Yang 0001, Gerald M. Masson Nonblocking Broadcast Switching Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF processor interconnection, network control algorithm, multiprocessor interconnection networks, switching networks, nonblocking, broadcast networks, parallel processing systems, multistage
18Ming-Syan Chen, Kang G. Shin, Dilip D. Kandlur Addressing, Routing, and Broadcasting in Hexagonal Mesh Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF hexagonal mesh multiprocessors, six-regular graphs, H-meshes, square meshes, routing, graph theory, broadcasting, hypercubes, multiprocessor interconnection network, multiprocessor interconnection networks, trees, addressing
18Hyunsoo Yoon, Kyungsook Y. Lee, Ming T. Liu Performance Analysis of Multibuffered Packet-Switching Networks in Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF multibuffered packet-switching networks, single-buffered delta networks, performance evaluation, interconnection networks, virtual machines, multiprocessor interconnection networks, packet switching, multiprocessor systems, simulation results, state transition diagram
18Jyh-Charn Liu, Kang G. Shin Polynomial Testing of Packet Switching Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF polynomial testing, multiple stuck-at fault model, functional testing method, network level, switch level, network-level testing, built-in tester, multiprocessor interconnection networks, packet switching, multiprocessor systems, automatic testing, polynomials, multistage interconnection networks, packet switching networks, routing dynamic
18Woei Lin, Chuan-lin Wu A Fault-Tolerant Mapping Scheme for a Configurable Multiprocessor System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF fault-tolerant mapping scheme, configurable multiprocessor system, interprocessor connections, linear address space, parallel computation, fault tolerant computing, multiprocessor interconnection networks, configurability, multistage interconnection networks
18Arif Ghafoor, Theodore R. Bashkow, Imran Ghafoor Bisectionla Fault-Tolerant Communication Archtecture for Supercomputer Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF supercomputer systems, bisectional interconnection network, symmetric balanced incomplete block design, SBIBD, fault tolerance, fault tolerant computing, partitioning, multiprocessor interconnection networks, communication architecture, self-routing, combinatorial structure
18Laxmi N. Bhuyan, Dipak Ghosal, Qing Yang 0001 Approximate Analysis of Single and Multiple Ring Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF asynchronous packet switched interconnection networks, single ring networks, multiple ring networks, register-insertion-ring protocols, uniform analysis, M/G/1 queuing model, symmetric ring structure, nonexhaustive service policy, infinite queue length, simulation, performance evaluation, performance evaluation, protocols, performance models, multiprocessor interconnection networks, packet switching, analytical models, multiprocessing, decentralized control, token-ring, slotted-ring, data-flow architectures
18Hungwen Li, Massimo Maresca Polymorphic-Torus Network. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF polymorphic-torus network, parallel fine-grained, circuit-switching capability, wiring complexity, interpackage wiring, max/min, sum operations, interconnection network, multiprocessor interconnection networks, SIMD, communication bandwidth, Boolean
18P. Bruce Berra, Arif Ghafoor, Pericles A. Mitkas, Slawomir J. Marcinkowski, Mohsen Guizani The Impact of Optics on Data and Knowledge Base Systems. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF optical database machines, optical knowledge base machines, optical disks, page-oriented holographic memories, optical content addressable memories, full text processing, optical processing, digital optical device development, knowledge base systems, knowledge based systems, database management systems, interconnection, processing, storage, database systems, optical interconnection, data communication, optics, optical information processing, optoelectronic, optical storage
18Dalibor F. Vrsalovic, Daniel P. Siewiorek, Zary Segall, Edward F. Gehringer Performance Prediction and Calibration for a Class of Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF predicting multiprocessor performance, experimental measurements, performance evaluation, parallel algorithms, interconnection network, parallel architectures, multiprocessor interconnection networks, iterative methods, calibration, performance prediction, iterative algorithms, access time, processing time
18Howard P. Katseff Incomplete Hypercubes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF k-dimensional hypercube, routing, multiprocessor interconnection networks, broadcast algorithms, interconnection topology, deadlock-free, incomplete hypercubes
18Shing-Tsaan Huang, Satish K. Tripathi Self-Routing Technique in Perfect-Shuffle Networks Using Control Tags. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF unscrambling, control tags, self-routing technique, multiple-pass perfect-shuffle networks, bit-permute-complement permutations, inverse-omega-realizing sets, computational complexity, computational complexity, multiprocessor interconnection networks, multiprocessor interconnection networks, set theory, permutation networks, set intersections
18Nathalie Homobono, Claudine Peyrat Connectivity of Imase and Itoh Digraphs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF Itoh digraphs, interconnection networks, fault tolerant computing, multiprocessor interconnection networks, directed graphs, digraphs
16Aharon J. Agranat, Noam Sapiens, Larry Rudolph Dynamic Optical Circuit Switching Applied to Storage Area Networks. Search on Bibsonomy OSC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Cees T. A. M. de Laat, Chris Develder, Admela Jukan, Joe Mambretti Introduction. Search on Bibsonomy Euro-Par The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Ricardo S. Ferreira 0001, Marcone Laure, Antonio Carlos Schneider Beck, Thiago Lo, Mateus B. Rutzig, Luigi Carro A low cost and adaptable routing network for reconfigurable systems. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Dietmar Tutsch, Miroslaw Malek Comparison of network-on-chip topologies for multicore systems considering multicast and local traffic. Search on Bibsonomy SimuTools The full citation details ... 2009 DBLP  DOI  BibTeX  RDF simulation, performance, multicast, network-on-chip, multicore processor
16Dohoon Kim An architecture for internet inter-domain interconnections and bandwidth trading towards effective NGN deployment. Search on Bibsonomy Ann. des Télécommunications The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Internet interconnections, Bandwidth trading, Hub-and-spoke network, Network operations and management, NGN, Inter-Domain routing, End-to-end QoS, Network economics
16Terrence S. T. Mak, N. Pete Sedcole, Peter Y. K. Cheung, Wayne Luk High-throughput interconnect wave-pipelining for global communication in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Johan Koopman, Dimitri Jeltsema, Michel Verhaegen Port-Hamiltonian formulation and analysis of the LuGre friction model. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Shakith Fernando, Xiaolei Chen, Yajun Ha sFPGA - A scalable switch based FPGA architecture and design methodology. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Alberto Ros 0001, Manuel E. Acacio, José M. García 0001 DiCo-CMP: Efficient cache coherency in tiled CMP architectures. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Vytautas Valancius, Nick Feamster, Ramesh Johari, Vijay V. Vazirani MINT: a Market for INternet Transit. Search on Bibsonomy CoNEXT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Pere Martí-Puig, Ramón Reig Bolaño, Vicenç Parisi Baradad Radix-R FFT and IFFT Factorizations for Parallel Implementation. Search on Bibsonomy DCAI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Parallel algorithms, Fast Fourier Transform, Fast algorithms
16Grigoris Dimitroulakos, Michalis D. Galanis, Costas E. Goutis Design space exploration of an optimized compiler approach for a generic reconfigurable array architecture. Search on Bibsonomy J. Supercomput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Coarse-grained reconfigurable arrays, Data bandwidth bottleneck, Reconfigurable computing, Data reuse, Compiler techniques
16Anup Gangwar, M. Balakrishnan, Anshul Kumar Impact of intercluster communication mechanisms on ILP in clustered VLIW architectures. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF performance evaluation, VLIW, ASIP, clustered VLIW processors
Displaying result #501 - #600 of 6322 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license