Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
14 | Luis Entrena, Mario García-Valderas, Raúl Fernández Cardenal, Almudena Lindoso, Marta Portela-García, Celia López-Ongil |
Soft Error Sensitivity Evaluation of Microprocessors by Multilevel Emulation-Based Fault Injection. |
IEEE Trans. Computers |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Michail Maniatakos, Chandrasekharan Tirumurti, Rajesh Galivanche, Yiorgos Makris |
Global Signal Vulnerability (GSV) Analysis for Selective State Element Hardening in Modern Microprocessors. |
IEEE Trans. Computers |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Marta Portela-García, Michelangelo Grosso, M. Gallardo-Campos, Matteo Sonza Reorda, Luis Entrena, Mario García-Valderas, Celia López-Ongil |
On the use of embedded debug features for permanent and transient fault resilience in microprocessors. |
Microprocess. Microsystems |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Faizal Arya Samman, Thomas Hollstein, Manfred Glesner |
Erratum to Planar adaptive network-on-chip supporting deadlock-free and efficient tree-based multicast routing method Microprocessors and Microsystems (2012) 449-461. |
Microprocess. Microsystems |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Bijan Alizadeh |
Formal Verification and Debugging of Precise Interrupts on High Performance Microprocessors. |
ACM Trans. Design Autom. Electr. Syst. |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Joonho Kong, Sung Woo Chung, Kevin Skadron |
Recent thermal management techniques for microprocessors. |
ACM Comput. Surv. |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Selçuk Baktir, Erkay Savas |
Highly-Parallel Montgomery Multiplication for Multi-core General-Purpose Microprocessors. |
IACR Cryptol. ePrint Arch. |
2012 |
DBLP BibTeX RDF |
|
14 | Daniel Gil-Tomas, Joaquin Gracia-Moran, Juan-Carlos Baraza-Calvo, Luis J. Saiz-Adalid, Pedro J. Gil-Vicente |
Analyzing the Impact of Intermittent Faults on Microprocessors Applying Fault Injection. |
IEEE Des. Test |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Juan Antonio Gómez Pulido, Miguel A. Vega-Rodríguez |
Advances in Sensors-Centric Microprocessors and System-on-Chip. |
Sensors |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Pei-Yao Chang, Tay-Jyi Lin, Jinn-Shyan Wang, Yen-Hsiang Yu |
A 4R/2W Register File Design for UDVS Microprocessors in 65-nm CMOS. |
IEEE Trans. Circuits Syst. II Express Briefs |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Alessandro Barenghi, Guido Marco Bertoni, Luca Breveglieri, Mauro Pellicioli, Gerardo Pelosi |
Injection Technologies for Fault Attacks on Microprocessors. |
Fault Analysis in Cryptography |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Paolo Bernardi, Lyl M. Ciganda, Michelangelo Grosso, Ernesto Sánchez 0001, Matteo Sonza Reorda |
A SBST strategy to test microprocessors' Branch Target Buffer. |
DDECS |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Daya Shanker Khudia, Griffin Wright, Scott A. Mahlke |
Efficient soft error protection for commodity embedded microprocessors using profile information. |
LCTES |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Savithra Eratne, Eugene John, Byeong Kil Lee |
Reducing thermal hotspots in microprocessors with expanded component sizing. |
MWSCAS |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Arunkumar Vijayakumar, Raghavan Kumar, Sandip Kundu |
On Design of Low Cost Power Supply Noise Detection Sensor for Microprocessors. |
ISVLSI |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Salvatore Campagna, Massimo Violante |
An hybrid architecture to detect transient faults in microprocessors: An experimental validation. |
DATE |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Hai Wang 0002, Sheldon X.-D. Tan, Xuexin Liu, Ashish Gupta 0007 |
Runtime power estimator calibration for high-performance microprocessors. |
DATE |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Kunio Takaya |
Transputer-like Multicore Digital Signal Processing on the Array of ARM Cortex-M0 Microprocessors. |
MCSoC |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Syed Zafar Shazli, Mehdi Baradaran Tahoori |
Online detection and recovery of transient errors in front-end structures of microprocessors. |
ETS |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Miroslav N. Velev, Ping Gao 0002 |
Automated debugging of counterexamples in formal verification of pipelined microprocessors. |
ASP-DAC |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Borislav Alexandrov, Owen Sullivan, Satish Kumar, Saibal Mukhopadhyay |
Prospects of active cooling with integrated super-lattice based thin-film thermoelectric devices for mitigating hotspot challenges in microprocessors. |
ASP-DAC |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Uros Pesovic, Zeljko Jovanovic, Sinisa Randjic, Dusan Markovic |
Benchmarking performance and energy efficiency of microprocessors for wireless sensor network applications. |
MIPRO |
2012 |
DBLP BibTeX RDF |
|
14 | Gonçalo Martins, Dave Lacey, Allistair Moses, Matthew J. Rutherford, Kimon P. Valavanis |
A case for I/O response benchmarking of microprocessors. |
IECON |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Selçuk Baktir, Erkay Savas |
Highly-Parallel Montgomery Multiplication for Multi-Core General-Purpose Microprocessors. |
ISCIS |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Hwajeong Seo, Howon Kim 0001 |
Multi-precision Multiplication for Public-Key Cryptography on Embedded Microprocessors. |
WISA |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Chang-Chih Chen, Fahad Ahmed, Linda Milor |
A comparative study of wearout mechanisms in state-of-art microprocessors. |
ICCD |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Aleksandar Simevski, Rolf Kraemer, Milos Krstic |
Platform for automated HW/SW co-verification, testing and simulation of microprocessors. |
LATW |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Kunio Takaya |
Transputer-like multicore parallel processing on the array of ARM Cortex-M0 microprocessors. |
CCECE |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Michail Maniatakos, Maria K. Michael, Yiorgos Makris |
Vulnerability-based Interleaving for Multi-Bit Upset (MBU) protection in modern microprocessors. |
ITC |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Joonho Kong, Sung Woo Chung |
Exploiting narrow-width values for process variation-tolerant 3-D microprocessors. |
DAC |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Georgios Theodorou |
Fault detection methodology for caches in reliable modern VLSI microprocessors based on instruction set architectures |
|
2012 |
RDF |
|
14 | |
Microprocessors. |
Encyclopedia of Parallel Computing |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Sanu Mathew, Farhana Sheikh, Michael E. Kounavis, Shay Gueron, Amit Agarwal 0001, Steven Hsu, Himanshu Kaul, Mark A. Anders 0001, Ram Krishnamurthy 0001 |
53 Gbps Native GF(2 4) 2 Composite-Field AES-Encrypt/Decrypt Accelerator for Content-Protection in 45 nm High-Performance Microprocessors. |
IEEE J. Solid State Circuits |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Ig-hoon Lee, Sang-goo Lee, Junho Shim |
Making T-Trees Cache Conscious on Commodity Microprocessors. |
J. Inf. Sci. Eng. |
2011 |
DBLP BibTeX RDF |
|
14 | Olav Lindtjorn, Robert G. Clapp, Oliver Pell, Haohuan Fu, Michael J. Flynn, Oskar Mencer |
Beyond Traditional Microprocessors for Geoscience High-Performance Computing Applications. |
IEEE Micro |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Martin Omaña 0001, Cecilia Metra, T. M. Mak, Simon Tam 0001 |
Low-Cost Dynamic Compensation Scheme for Local Clocks of Next Generation High Performance Microprocessors. |
IEEE Trans. Very Large Scale Integr. Syst. |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Makoto Sugihara |
A Dynamic Continuous Signature Monitoring Technique for Reliable Microprocessors. |
IEICE Trans. Electron. |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Jiongyao Ye, Yu Wan 0002, Takahiro Watanabe |
A New Recovery Mechanism in Superscalar Microprocessors by Recovering Critical Misprediction. |
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Xiangyu Dong, Xiaoxia Wu, Yuan Xie 0001, Yiran Chen 0001, Hai (Helen) Li |
Stacking magnetic random access memory atop microprocessors: an architecture-level evaluation. |
IET Comput. Digit. Tech. |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Diary R. Sulaiman |
Microprocessors thermal challenges for portable and embedded systems using thermal throttling technique. |
WCIT |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Parthasarathy Ranganathan |
From Microprocessors to Nanostores: Rethinking Data-Centric Systems. |
Computer |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Antonio Carpeño, Jesús Arriaga, Javier Corredor, Javier Hernandez |
The Key Factors of an Active Learning Method in a Microprocessors Course. |
IEEE Trans. Educ. |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Shekhar Borkar, Andrew A. Chien |
The future of microprocessors. |
Commun. ACM |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Marta Portela-García, Celia López-Ongil, Mario García-Valderas, Luis Entrena |
Fault Injection in Modern Microprocessors Using On-Chip Debugging Infrastructures. |
IEEE Trans. Dependable Secur. Comput. |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Renaud Sirdey |
Contributions à l'optimisation combinatoire pour l'embarqué : des autocommutateurs cellulaires aux microprocesseurs massivement parallèles. (Contributions to combinatorial optimization for embedded computing : from wireless switching systems to massively parallel microprocessors). |
|
2011 |
RDF |
|
14 | Vipul Chawla, Dong Sam Ha |
Dual use of power lines for data communications in microprocessors. |
DDECS |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Daecheol You, Young-Si Hwang, Youngho Ahn, Ki-Seok Chung |
A Test Method for Power Management of SoC-based Microprocessors. |
MTV |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Stefano Di Carlo, Giulio Gambardella, Marco Indaco, Daniele Rolfo, Paolo Prinetto |
MarciaTesta: An Automatic Generator of Test Programs for Microprocessors' Data Caches. |
Asian Test Symposium |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Alexander Kamkin, Eugene Kornykhin, Dmitry Vorobyev |
Reconfigurable Model-Based Test Program Generator for Microprocessors. |
ICST Workshops |
2011 |
DBLP DOI BibTeX RDF |
|
14 | José Rodrigo Azambuja, Samuel Pagliarini, Maurício Altieri, Fernanda Lima Kastensmidt, Michael Hübner 0001, Jürgen Becker 0001 |
Using dynamic partial reconfiguration to detect sees in microprocessors through non-intrusive hybrid technique. |
SBCCI |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Kohei Matsumoto, Hiroyuki Umeo, Nobuyuki Yamasaki |
A Thread Speed Control Scheme for Real-Time Microprocessors. |
RTCSA (2) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Miroslav N. Velev, Ping Gao 0002 |
Automatic formal verification of multithreaded pipelined microprocessors. |
ICCAD |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Ernesto Sánchez 0001, Giovanni Squillero, Alberto Paolo Tonda |
Evolutionary failing-test generation for modern microprocessors. |
GECCO (Companion) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Matthias Sauer 0002, Victor Tomashevich, Jörg Müller 0004, Matthew Lewis 0004, Andreas Spilla, Ilia Polian, Bernd Becker 0001, Wolfram Burgard |
An FPGA-based framework for run-time injection and analysis of soft errors in microprocessors. |
IOLTS |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Rance Rodrigues, Sandip Kundu |
On graceful degradation of microprocessors in presence of faults via resource banking. |
IOLTS |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Paolo Bernardi, Lyl M. Ciganda, Ernesto Sánchez 0001, Matteo Sonza Reorda |
An effective methodology for on-line testing of embedded microprocessors. |
IOLTS |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Liucheng Guo, Jiangfang Yi, Liang Zhang, Xiaoyin Wang, Dong Tong 0001 |
CGA: Combining cluster analysis with genetic algorithm for regression suite reduction of microprocessors. |
SoCC |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Rajesh Thirugnanam, Dong Sam Ha |
Feasibility study for communication over Power Distribution Networks of microprocessors. |
SoCC |
2011 |
DBLP DOI BibTeX RDF |
|
14 | José Rodrigo Azambuja, Angelo Cardoso Lapolli, Maurício Altieri, Fernanda Lima Kastensmidt |
Evaluating the efficiency of data-flow software-based techniques to detect SEEs in microprocessors. |
LATW |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Mandar Padmawar, Sanghamitra Roy, Koushik Chakraborty |
Integrated circuit-architectural framework for PSN aware floorplanning in microprocessors. |
ISQED |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Michael Hutter, Erich Wenger |
Fast Multi-precision Multiplication for Public-Key Cryptography on Embedded Microprocessors. |
CHES |
2011 |
DBLP DOI BibTeX RDF |
|
14 | W. M. Roshan Weerasuriya, D. N. Ranasinghe |
Older Opteron Outperforms the Newer Xeon: A Memory Intensive Application Study of Server Based Microprocessors. |
ICSEng |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Michael B. Henry, Meeta Srivastav, Leyla Nazhandali |
A case for NEMS-based functional-unit power gating of low-power embedded microprocessors. |
DAC |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Miroslav N. Velev, Ping Gao 0002 |
Modular Schemes for Constructing Equivalent Boolean Encodings of Cardinality Constraints and Application to Error Diagnosis in Formal Verification of Pipelined Microprocessors. |
SARA |
2011 |
DBLP BibTeX RDF |
|
14 | Amin Ansari |
Overcoming Hard-Faults in High-Performance Microprocessors. |
|
2011 |
RDF |
|
14 | Kubilay Tasdelen |
8051 mikroişlemcileri için internet tabanlı, çok kullanıcılı emülatör tasarımı (Internet based, multi-user emulator design for 8051 microprocessors) |
|
2011 |
RDF |
|
14 | Hazem I. Shehata |
Formal Verification of Instruction Dependencies in Microprocessors. |
|
2011 |
RDF |
|
14 | Rupesh S. Shelar |
Routing With Constraints for Post-Grid Clock Distribution in Microprocessors. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Ryan N. Rakvic, Qiong Cai, José González 0002, Grigorios Magklis, Pedro Chaparro, Antonio González 0001 |
Thread-management techniques to maximize efficiency in multicore and simultaneous multithreaded microprocessors. |
ACM Trans. Archit. Code Optim. |
2010 |
DBLP DOI BibTeX RDF |
|
14 | E. V. Kornykhin |
Generation of test data for verification of caching mechanisms and address translation in microprocessors. |
Program. Comput. Softw. |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Duo Li, Sheldon X.-D. Tan, Eduardo H. Pacheco, Murli Tirumala |
Parameterized architecture-level dynamic thermal models for multicore microprocessors. |
ACM Trans. Design Autom. Electr. Syst. |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Chao-Hung Chen, Hsiung-Cheng Lin, Ying-Chu Liu, Wei-Chung Hsu |
Local-loop based robot action control module using independent microprocessors. |
Comput. Appl. Eng. Educ. |
2010 |
DBLP DOI BibTeX RDF |
|
14 | M. Bellotti, R. Mariani |
How future automotive functional safety requirements will impact microprocessors design. |
Microelectron. Reliab. |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Miroslav N. Velev, Ping Gao 0002 |
Method for Formal Verification of Soft-Error Tolerance Mechanisms in Pipelined Microprocessors. |
ICFEM |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Lin Li, Youtao Zhang, Jun Yang 0002, Jianhua Zhao |
Proactive NBTI mitigation for busy functional units in out-of-order microprocessors. |
DATE |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Makoto Sugihara |
Dynamic Control Flow Checking Technique for Reliable Microprocessors. |
DSD |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Young-Joon Lee, Sung Kyu Lim |
Timing analysis and optimization for 3D stacked multi-core microprocessors. |
3DIC |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Savithra Eratne, Claudia Romo, Eugene John, Byeong Kil Lee |
Leakage and Access Time Tradeoffs for Cache in High Performance Microprocessors. |
CDES |
2010 |
DBLP BibTeX RDF |
|
14 | Ian A. Young |
Analog mixed-signal circuits in advanced nano-scale CMOS technology for microprocessors and SoCs. |
ESSCIRC |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Bijan Alizadeh, Amir Masoud Gharehbaghi, Masahiro Fujita |
Pipelined Microprocessors Optimization and Debugging. |
ARC |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Ram Krishnamurthy 0001 |
High-Performance Energy-Efficient Reconfigurable Accelerators/Co-processors for Tera-Scale Multi-core Microprocessors. |
ARC |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Danbee Park, Jungseob Lee, Nam Sung Kim, Taewhan Kim |
Optimal algorithm for profile-based power gating: A compiler technique for reducing leakage on execution units in microprocessors. |
ICCAD |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Jaehyun Park 0005, Donghwa Shin, Naehyuck Chang, Massoud Pedram |
Accurate modeling and calculation of delay and energy overheads of dynamic voltage scaling in modern high-performance microprocessors. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
DVS overhead model, PLL, DVFS, DC-DC converter |
14 | Meltem Ozsoy, Yusuf Onur Koçberber, Mehmet Kayaalp 0001, Oguz Ergin |
Dynamic register file partitioning in superscalar microprocessors for energy efficiency. |
ICCD |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Andreas Merentitis, Antonis M. Paschalis, Dimitris Gizopoulos, Nektarios Kranitis |
Energy optimal on-line Self-Test of microprocessors in WSN nodes. |
ICCD |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Joseph Shor |
Low noise linear voltage regulator for use as an on-chip PLL supply in microprocessors. |
ISCAS |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Kosta Luria, Joseph Shor |
Miniaturized CMOS thermal sensor array for temperature gradient measurement in microprocessors. |
ISCAS |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Paolo Rech, Michelangelo Grosso, Fabio Melchiori, Domenico Loparco, Davide Appello, Luigi Dilillo, Alessandro Paccagnella, Matteo Sonza Reorda |
Analysis of root causes of alpha sensitivity variations on microprocessors manufactured using different cell layouts. |
IOLTS |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Ig-hoon Lee, Jae-Won Lee, Junho Shim, Sang-goo Lee |
Cache conscious trees on modern microprocessors. |
ICUIMC |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Michail Maniatakos, Yiorgos Makris |
Workload-driven selective hardening of control state elements in modern microprocessors. |
VTS |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Emel Arslan, Sabri Arik |
Removing an Object from Video Sequence Algorithm Implemented on Analog CNN and DSP Microprocessors. |
ICANN (1) |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Leonid Domnitser, Nael B. Abu-Ghazaleh, Dmitry Ponomarev 0001 |
A Predictive Model for Cache-Based Side Channels in Multicore and Multithreaded Microprocessors. |
MMM-ACNS |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Jonathan A. Winter |
Adaptive Thread Management for Power, Temperature, and Reliability in Future Microprocessors. |
|
2010 |
RDF |
|
14 | Jike Chong |
Pattern-Oriented Application Frameworks for Domain Experts to Effectively Utilize Highly Parallel Manycore Microprocessors. |
|
2010 |
RDF |
|
14 | Soumyaroop Roy |
Architecture and Compiler Support for Leakage Reduction Using Power Gating in Microprocessors. |
|
2010 |
RDF |
|
14 | Yu Ho Jin |
Architectural Support for Efficient Communication in Future Microprocessors. |
|
2010 |
RDF |
|
14 | Soumyaroop Roy, Nagarajan Ranganathan, Srinivas Katkoori |
A Framework for Power-Gating Functional Units in Embedded Microprocessors. |
IEEE Trans. Very Large Scale Integr. Syst. |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Duo Li, Sheldon X.-D. Tan, Eduardo H. Pacheco, Murli Tirumala |
Architecture-Level Thermal Characterization for Multicore Microprocessors. |
IEEE Trans. Very Large Scale Integr. Syst. |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Holger Bock Axelsen, Robert Glück, Alexis De Vos, Michael Kirkedal Thomsen |
MicroPower: Towards Low-Power Microprocessors with Reversible Computing. |
ERCIM News |
2009 |
DBLP BibTeX RDF |
|
14 | Sin Ming Loo, Arlen Planting |
Use of discrete and soft processors in introductory microprocessors and embedded systems curriculum. |
SIGBED Rev. |
2009 |
DBLP DOI BibTeX RDF |
|