The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiprocessor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1961-1971 (15) 1972-1973 (17) 1974-1975 (20) 1976-1977 (40) 1978 (20) 1979 (20) 1980 (29) 1981 (39) 1982 (59) 1983 (53) 1984 (53) 1985 (81) 1986 (98) 1987 (129) 1988 (211) 1989 (197) 1990 (266) 1991 (211) 1992 (242) 1993 (212) 1994 (254) 1995 (246) 1996 (215) 1997 (224) 1998 (141) 1999 (210) 2000 (231) 2001 (202) 2002 (185) 2003 (253) 2004 (245) 2005 (339) 2006 (398) 2007 (417) 2008 (394) 2009 (311) 2010 (210) 2011 (188) 2012 (125) 2013 (132) 2014 (136) 2015 (101) 2016 (101) 2017 (84) 2018 (62) 2019 (71) 2020 (68) 2021 (55) 2022 (59) 2023 (37) 2024 (7)
Publication types (Num. hits)
article(2710) book(12) incollection(58) inproceedings(4758) phdthesis(175)
Venues (Conferences, Journals, ...)
IEEE Trans. Parallel Distribut...(321) IEEE Trans. Computers(317) IPDPS(136) ISCA(135) ICPP(99) DATE(96) CoRR(81) DAC(81) IPPS(78) ECRTS(75) ICS(73) Parallel Comput.(72) RTSS(71) SIGMETRICS(68) Euro-Par(67) RTCSA(63) More (+10 of total 1221)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 8783 occurrences of 2559 keywords

Results
Found 7713 publication records. Showing 7713 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Najwa Aaraj, Anand Raghunathan, Niraj K. Jha Analysis and design of a hardware/software trusted platform module for embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded systems, multiprocessor systems, Custom instructions
19Yongjin Ahn, Keesung Han, Ganghee Lee, Hyunjik Song, Jun-hee Yoo, Kiyoung Choi, Xingguang Feng SoCDAL: System-on-chip design AcceLerator. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF application-to-architecture mapping, static hardware/software estimation, simulation, scheduling, specification, design-space exploration, worst-case execution time, Codesign, transaction-level model, synchronous dataflow, multiprocessor system-on-chip, process networks
19Matthieu Lemerre, Vincent David, Christophe Aussaguès, Guy Vidal-Naquet Equivalence between Schedule Representations: Theory and Applications. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multiprocessor, real-time scheduling, periodic tasks, optimal scheduling, scheduling theory
19Olga Golubeva, Mirko Loghi, Massimo Poncino On the energy efficiency of synchronization primitives for shared-memory single-chip multiprocessors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF synchronization, multiprocessor, system-on-chip, energy
19Shih-Tang Lo, Ruey-Maw Chen, Yueh-Min Huang Multi-constraint System Scheduling Using Dynamic and Delay Ant Colony System. Search on Bibsonomy IEA/AIE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF scheduling, multiprocessor, Ant colony optimization
19Guey-Yun Chang, Gerard J. Chang, Gen-Huey Chen Diagnosabilities of Regular Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF diagnosis by comparison, pessimistic diagnosis strategy, precise diagnosis strategy, hypercube, Diagnosis, multiprocessor system, PMC model
19Mohamed-Wassim Youssef, Sungjoo Yoo, Arif Sasongko, Yanick Paviot, Ahmed Amine Jerraya Debugging HW/SW interface for MPSoC: video encoder system design case study. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hardware-dependant software, hardware-software interface, debug, multiprocessor system-on-chip
19Ville Kyrki, Jani Peusaari, Heikki Kälviäinen Intermediate-level feature extraction in novel parallel environments. Search on Bibsonomy Mach. Vis. Appl. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Parallel Hough transform, Feature extraction, Multiprocessor systems, Clusters of workstations, Randomized Hough transform
19Franciszek Seredynski, Albert Y. Zomaya Sequential and Parallel Cellular Automata-Based Scheduling Algorithms. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF two-processor systems, genetic algorithms, Cellular automata, coevolution, multiprocessor scheduling
19Daniel J. Sorin, Milo M. K. Martin, Mark D. Hill, David A. Wood 0001 SafetyNet: Improving the Availability of Shared Memory Multiprocessors with Global Checkpoint/Recovery. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF multiprocessor, availability, shared memory
19Tak Wah Lam, Fung Ling Yue Optimal Edge Ranking of Trees in Linear Time. Search on Bibsonomy Algorithmica The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Scheduling, Approximation, Multiprocessor tasks
19Iiro S. Honkala, Mark G. Karpovsky, Simon Litsyn On the Identification of Vertices and Edges Using Cycles. Search on Bibsonomy AAECC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF binary hypercube, Lee metric, graph, multiprocessor system, Identification, cycle, Hamming distance
19V. N. Babin, Valeri P. Il'in, A. S. Pylkin On the Parallelization of Domain Decomposition Methods for 3-D Boundary Value Problems. Search on Bibsonomy PaCT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF multiprocessor, speedup, parallel implementation, boundary value problem, domain decomposition method
19Kenneth E. Hoganson Mapping Parallel Application Communication Topology to Rhombic Overlapping-Cluster Multiprocessors. Search on Bibsonomy J. Supercomput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF cluster, parallel programming, interconnection network, shared-memory multiprocessor, overlapped cluster
19Renato J. O. Figueiredo, José A. B. Fortes Impact of Heterogeneity on DSM Performance. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF multiprocessor, heterogeneous, distributed shared-memory
19Hung-Chang Hsiao, Chung-Ta King Boosting the Performance of NOW-based Shared Memory Multiprocessors through Directory Hints. Search on Bibsonomy ICDCS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF NOW-based shared memory multiprocessor, directory hints, remote read latency, performance evaluation, interconnection network
19Julio Sahuquillo, Ana Pont The Filter Cache: A Run-Time Cache Management Approach1. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multi-lateral cache, data cache management, multiprocessor systems, memory architectures, data locality
19Khalid H. Sheta, Mukesh Singhal Scheduling support for multicasting sessions in broadband communication networks. Search on Bibsonomy ICCCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF scheduling support, multicasting sessions, broadband communication networks, end-to-end communication level, root node, multicasting session, fork-join job, executing task, device I/O, session control job, incoming job tasks, monitoring, throughput, maintenance, multiprocessor system, multimedia applications, processor scheduling, multicasting tree, adaptive scheduler, multicasting protocol, rescheduling, scheduling scheme, data packet
19Edward D. Moreno, Sergio Takeo Kofuji Efficiency of remote access caches in future SMP-based CC-NUMA multiprocessors: initial results. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF shared remote access cache, future SMP based CC-NUMA multiprocessors, symmetric multiprocessor nodes, future architectures, realistic hardware parameters, state of the art systems components, SPLASH-2 benchmark suite, performance application, baseline architecture, approach-1, slow network, approach-2, fast network, 32-processor system, four-processor SMP nodes, two-processor SMP nodes, multiprocessing systems, execution time, cost effectiveness
19Chiung-San Lee, Tai-Ming Parng A Subsystem-Oriented Performance Analysis Methodology for Shared-Bus Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Bottleneck analysis, DMA transfer, separated address bus and data bus, shared-bus multiprocessor system, subsystem access time modeling, subsystem interferences, performance analysis
19Theodora A. Varvarigou, Vwani P. Roychowdhury, Thomas Kailath, Eugene L. Lawler Scheduling In and Out Forests in the Presence of Communication Delays. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF out-forest precedence graphs, out-forest precedence graphs, optimal deterministic schedules, polynomial-time algorithms, Communication delays, multiprocessor architectures
19Dan Picker, Ronald D. Fellman Successive Superposition: A Technique for the Exact Modeling of Deterministic Packet Queuing Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF packet multiplexing, packet queuing networks, rate monotonic scheduling algorithm, Asynchronous transfer mode, multiprocessor scheduling, interprocessor communication, signal flow graphs
19Minesh B. Amin, Bapiraju Vinnakota Zamlog: a parallel algorithm for fault simulation based on Zambezi. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Zambezi, Zamlog, sequential circuit fault simulator, uniprocessor simulator, parallel algorithm, logic testing, fault simulation, test sets, multiprocessor simulation
19Christoph Siegelin, Ciaran O'Donnell, Ulrich Finger Efficient Simulation of Multiprocessors through Finite State Machines. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF event-driven multiprocessor simulators, memory behaviour, cache behaviour, simulation, multiprocessors, finite state machines, finite state machines
19Roberto Giorgi, Cosimo Antonio Prete, Luigi M. Ricciardi, Gianpaolo Prina A Hybrid Approach to Trace Generation for Performance Evaluation of Shared-Bus Multiprocessors. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF software approach, user references, virtual-to-physical address translation, kernel reference stream, general-purpose machine, multitasking operating system, performance evaluation, performance evaluation, shared-memory multiprocessor, process scheduling, hybrid approach, trace generation, shared-bus multiprocessors
19Hwang-Cheng Wang, Kai Hwang 0001 Multicoloring of Grid-Structured PDE Solvers on Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF PDE solvers, memory access conflicts, cache saturation, multiprocessor performance, Parallel processing, conjugate gradient methods, sparse matrix, multicoloring
19Rüdiger Westermann Parallel volume rendering. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF three dimensional volume data visualisation, multiprocessor computer systems, memory minimization, large scale volume data rendering, processed data resolution, node local memory, software engineering, algorithms, parallel algorithms, scalability, resource allocation, parallel machines, distributed memory systems, portability, data visualisation, software portability, rendering (computer graphics), distributed memory parallel computers, parallel volume rendering
19Yang Zeng, Santosh G. Abraham Partitioning regular grid applications with irregular boundaries for cache-coherent multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF partitioning regular grid applications, irregular boundaries, cache-coherent multiprocessors, regular grid, domain decomposition techniques, message passing multiprocessors, false coherency traffic, cache line, coalescing algorithm, domain decomposition algorithm, Indian Ocean circulation application, KSR1 multiprocessor, coherency traffic, message passing, multiprocessing systems, interprocessor communication
19Myung K. Yang, Chita R. Das Evaluation of a Parallel Branch-and-Bound Algorithm on a Class of Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF parallel branch-and-bound algorithm, MIN-based multiprocessorsystems, serial best-first search, conflict-free mapping scheme, performance evaluation, parallel algorithms, multiprocessor interconnection networks, multiprocessing systems, probabilistic model, communication overheads, computation overheads
19Sukhamoy Som, Roland R. Mielke, John W. Stoughton Prediction of Performance and Processor Requirements in Real-Time Data Flow Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF processor requirements, ATAMM, Algorithm to Architecture Mapping Model, multiprocessor operating system, reliableperformance, four-processor architecture, VHSIC 1750A Instruction Set Processor, iterative control, signal processing algorithms, nonpreemptive, dynamicmultiprocessor scheduling, processor requirement prediction, faulttolerant computing, real-timesystems, scheduling, performance, real-time systems, multiprocessing systems, operating systems (computers), periodic, data flow graph, data flow architectures
19Peter F. Corbett, Isaac D. Scherson Sorting in Mesh Connected Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF MeshSort, multidimensional mesh-connected multiprocessors, ShearSort, orthogonal vectors, reduced architecture, multidimensional memory structure, FastMeshSort, parallel algorithms, multiprocessor interconnection networks, sorting, routing algorithm, parallel sorting, sorting algorithm, Bitonic Sort
19Robert F. Cmelik, Narain H. Gehani, William D. Roome Experience with Multiple Processor Versions of Concurrent C. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF multiple processor versions, uniprocessor version, parallel programming, parallel programming, local area network, local area networks, multiprocessing systems, shared-memory multiprocessor, execution times, C language, Concurrent C, multiprocessing programs
19Manhee Lee, Minseon Ahn, Eun Jung Kim 0001 Fast Secure Communications in Shared Memory Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF authentication, shared memory, Multiprocessor systems, interprocessor communications, data encryption
19Weichen Liu, Zonghua Gu 0001, Jiang Xu 0001, Xiaowen Wu, Yaoyao Ye Satisfiability Modulo Graph Theory for Task Mapping and Scheduling on Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF scheduling, Multiprocessor, satisfiability, design space exploration
19Xuan Qi, Dakai Zhu 0001, Hakan Aydin Global Reliability-Aware Power Management for Multiprocessor Real-Time Systems. Search on Bibsonomy RTCSA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF global scheduing, multiprocessor real-time systems, reliability, Power management
19Ernesto Massa, George Lima 0001 A Bandwidth Reservation Strategy for Multiprocessor Real-Time Scheduling. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2010 DBLP  DOI  BibTeX  RDF scheduling, multiprocessor, migration
19Nan Guan, Martin Stigge, Wang Yi 0001, Ge Yu 0001 Fixed-Priority Multiprocessor Scheduling with Liu and Layland's Utilization Bound. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2010 DBLP  DOI  BibTeX  RDF real-time systems, multiprocessor, fixed priority scheduling, utilization bound
19Nikhil Gupta 0004, Suman Kalyan Mandal, Javier Malave, Ayan Mandal, Rabi N. Mahapatra A Hardware Scheduler for Real Time Multiprocessor System on Chip. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Hardware Pfair Scheduler, Low Power, Multiprocessor
19Pepijn J. de Langen, Ben H. H. Juurlink Leakage-Aware Multiprocessor Scheduling. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Scheduling, Multiprocessor, Leakage power, Voltage scaling
19Vincent Nélis, Joël Goossens, Björn Andersson Two Protocols for Scheduling Multi-mode Real-Time Systems upon Identical Multiprocessor Platforms. Search on Bibsonomy ECRTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-mode real-time system, multiprocessor scheduling, global scheduling
19Björn B. Brandenburg, James H. Anderson Reader-Writer Synchronization for Shared-Memory Multiprocessor Real-Time Systems. Search on Bibsonomy ECRTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multiprocessor real-time systems, reader/writer synchronization, fair locks, Global EDF, Partitioned EDF, schedulability, synchronization
19Pablo Huerta, Javier Castillo, César Pedraza, Javier Cano 0001, José Ignacio Martínez Symmetric Multiprocessor Systems on FPGA. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, Multiprocessor, SMP
19Taho Dorta, Jaime Jimenez, José Luis Martín 0001, Unai Bidarte, Armando Astarloa Overview of FPGA-Based Multiprocessor Systems. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF MPoPC, Soft Multiprocessor, FPGA, MPSoC
19Heekyung Kim, Dukyoung Yun, Soonhoi Ha Scalable and retargetable simulation techniquesfor multiprocessor systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF simulation, scalable, parallel, distributed, multiprocessor, retargetable
19Avinash Malik, Zoran A. Salcic, Alain Girault, Adam Walker, Sung Chul Lee A customizable multiprocessor for Globally Asynchronous Locally Synchronous execution. Search on Bibsonomy JTRES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF synchronous and asynchronous concurrency, multiprocessor, GALS, reactivity
19Friman Sánchez, Alex Ramírez, Mateo Valero Quantitative analysis of sequence alignment applications on multiprocessor architectures. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF bioinformatics applications, parallel architectures, multiprocessor architectures, sequence comparison
19Long Zheng 0001, Mianxiong Dong, Song Guo 0001, Minyi Guo, Li Li 0012 I-Cache Tag Reduction for Low Power Chip Multiprocessor. Search on Bibsonomy ISPA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF tag reduction, chip multiprocessor, energy saving
19Tong Li 0003, Dan P. Baumberger, Scott Hahn Efficient and scalable multiprocessor fair scheduling using distributed weighted round-robin. Search on Bibsonomy PPoPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF distributed weighted round-robin, multiprocessor, fair scheduling, lag
19Seng Lin Shee, Andrea Erdos, Sri Parameswaran Architectural Exploration of Heterogeneous Multiprocessor Systems for JPEG. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design, architecture, multiprocessor, SoC, pipelines, ASIPs, heterogeneous system
19Henry Wong, Anne Bracy, Ethan Schuchman, Tor M. Aamodt, Jamison D. Collins, Perry H. Wang, Gautham N. Chinya, Ankur Khandelwal Groen, Hong Jiang, Hong Wang 0003 Pangaea: a tightly-coupled IA32 heterogeneous chip multiprocessor. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ia32, on-chip integration, chip multiprocessor, heterogeneous
19Harold Ishebabi, Philipp Mahr, Christophe Bobda Automatic Synthesis of Multiprocessor Systems from Parallel Programs under Preemptive Scheduling. Search on Bibsonomy ReConFig The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Parallel Computing, Reconfigurable Computing, Multiprocessor Systems
19Sören Sonntag, Helmut Reinig An Efficient Weighted-Round-Robin Algorithm for Multiprocessor Architectures. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SystemQ, Algorithm, Multiprocessor, System on Chip, Arbitration, Weighted Round Robin
19Ayse Kivilcim Coskun, Tajana Simunic Rosing, Kenny C. Gross Temperature management in multiprocessor SoCs using online learning. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multiprocessor, online learning, thermal management
19Luis A. Plana, Stephen B. Furber, Steve Temple, Muhammad Mukaram Khan, Yebin Shi, Jian Wu, Shufan Yang A GALS Infrastructure for a Massively Parallel Multiprocessor. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF massively parallel multiprocessor, Spinnaker, self-timed interconnect, GALS, neural modeling
19Patrice Gerin, Hao Shen, A. Chureau, Aimen Bouchhima, Ahmed Amine Jerraya Flexible and Executable Hardware/Software Interface Modeling for Multiprocessor SoC Design Using SystemC. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF transaction accurate level, hardware/software interface modeling, multiprocessor SoC design, automatic generation tools, system-on-chip, SystemC, abstraction level, architecture exploration
19Minyeol Seo, Ha Seok Kim, Ji Chan Maeng, Jimin Kim, Minsoo Ryu An Effective Design of Master-Slave Operating System Architecture for Multiprocessor Embedded Systems. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Master-slave, remote invocation, kernel configuration, multiprocessor, design issues
19Michael Kistler, Michael Perrone, Fabrizio Petrini Cell Multiprocessor Communication Network: Built for Speed. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Cell Broadband Engine processor, multiprocessor communication network
19Theodore P. Baker An Analysis of Fixed-Priority Schedulability on a Multiprocessor. Search on Bibsonomy Real Time Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF symmetric multiprocessing, scheduling, real time, multiprocessor, utilization bound, rate monotonic, fixed priority, deadline monotonic
19Tracy Kimbrel, Baruch Schieber, Maxim Sviridenko Minimizing migrations in fair multiprocessor scheduling of persistent tasks. Search on Bibsonomy J. Sched. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Persistent tasks, Multiprocessor scheduling, Process migration, Fair scheduling
19Iyad Al Khatib, Davide Bertozzi, Francesco Poletti, Luca Benini, Axel Jantsch, Mohamed Bechara, Hasan Khalifeh, Mazen Hajjar, Rustam Nabiev, Sven Jonsson MPSoC ECG biochip: a multiprocessor system-on-chip for real-time human heart monitoring and analysis. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hardware space exploration, multiprocessor system-on-chip, real-time analysis, electrocardiogram algorithms
19Tali Moreshet, R. Iris Bahar, Maurice Herlihy Energy implications of multiprocessor synchronization. Search on Bibsonomy SPAA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multiprocessor, transactional memory, energy aware
19Iyad Al Khatib, Francesco Poletti, Davide Bertozzi, Luca Benini, Mohamed Bechara, Hasan Khalifeh, Axel Jantsch, Rustam Nabiev A multiprocessor system-on-chip for real-time biomedical monitoring and analysis: architectural design space exploration. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hardware space exploration, embedded system design, multiprocessor system-on-chip, real-time analysis, electrocardiogram algorithms
19Ahmed Amine Jerraya, Hannu Tenhunen, Wayne H. Wolf Guest Editors' Introduction: Multiprocessor Systems-on-Chips. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SoCs, microprocessors, multiprocessor systems, MPSoCs, chip design, VLSI technology
19Víctor Reyes, Tomás Bautista, Gustavo Marrero Callicó, Antonio Núñez, Wido Kruijtzer A multicast inter-task communication protocol for embedded multiprocessor systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multiprocessor design, platform interface, task transaction level, parallel programming model
19Jian-Jia Chen, Heng-Ruey Hsu, Kai-Hsiang Chuang, Chia-Lin Yang, Ai-Chun Pang, Tei-Wei Kuo Multiprocessor Energy-Efficient Scheduling with Task Migration Considerations. Search on Bibsonomy ECRTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Real-Time Systems, Power Management, Multiprocessor Scheduling, Energy-Efficient Scheduling, Real-Time Task Scheduling
19Savina Bansal, Padam Kumar, Kuldip Singh An Improved Duplication Strategy for Scheduling Precedence Constrained Graphs in Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Algorithm, distributed computing, interconnection network, multiprocessor scheduling
19R. Al-Omari, G. Manimaran, Murti V. Salapaka, Arun K. Somani Novel Algorithms for Open-Loop and Closed-Loop Scheduling of Real-Time Tasks in Multiprocessor Systems Based on Execution Time Estimation. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Open-loop scheduling, Closed-loop scheduling, Modeling, Real-time scheduling, Multiprocessor systems, Feedback control
19Sébastien Nussbaum, James E. Smith 0001 Statistical Simulation of Symmetric Multiprocessor Systems. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF SimpleMP, Simulation, Performance, Architecture, multiprocessor, Statistical, Memory Hierarchy, systems, SMP, superscalar, Fast, shared bus, out-of-order
19Joël Goossens, Sanjoy K. Baruah Multiprocessor algorithms for uniprocessor feasibility analysis. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF multiprocessor algorithms, uniprocessor feasibility analysis, uniprocessor real-time systems, asynchronous periodic tasks, preemptive earliest deadline first scheduling, scheduling, real-time systems, parallel algorithms, parallel algorithm, multiprocessing systems, parallel machines, parallel machines, task models, scheduling algorithms, deadlines, hard real-time system
19Venkata Krishnan, Josep Torrellas A Chip-Multiprocessor Architecture with Speculative Multithreading. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Chip-multiprocessor, speculative multithreading, data-dependence speculation, control speculation
19Asawaree Kalavade, Joe Othmer, Bryan D. Ackland, Kanwar Jit Singh Software Environment for a Multiprocessor DSP. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multiprocessor DSP, runtime kernel, RTOS, software environment, media processor
19Mats Björkman, Per Gunningberg Performance modeling of multiprocessor implementations of protocols. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF parallel communication protocols, multiprocessor, performance modeling, queueing network model
19Emilia Rosti, Evgenia Smirni, Lawrence W. Dowdy, Giuseppe Serazzi, Kenneth C. Sevcik Processor Saving Scheduling Policies for Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF processor saving algorithm, work conserving, Markov analysis, performance evaluation, Multiprocessor systems, processor scheduling
19Jing-Chiou Liou, Michael A. Palis A New Heuristic for Scheduling Parallel Programs on Multiprocessor. Search on Bibsonomy IEEE PACT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF clustering, scheduling, compiler, Resource management, distributed memory multiprocessor
19Kuang-Chih Liu, Chung-Ta King A Performance Study on Bounteous Transfer in Multiprocessor Sectored Caches. Search on Bibsonomy J. Supercomput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF sectored cache, partial block invalidation, multiprocessor, Cache coherence, data prefetching, false sharing
19Leslie Lamport How to Make a Correct Multiprocess Program Execute Correctly on a Multiprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1997 DBLP  DOI  BibTeX  RDF verification, Concurrency, synchronization, multiprocessor, memory consistency
19Ophir Frieder, Hava T. Siegelmann Multiprocessor Document Allocation: A Genetic Algorithm Approach. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Genetic algorithms, information retrieval, parallel processing, information systems, multiprocessor, data placement, data allocation
19Keqin Li An efficient and effective performance evaluation method for multiprogrammed multiprocessor systems. Search on Bibsonomy SAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multi-server queueing system, partitionable parallel system, performance evaluation, response time, utilization, scheduling policy, shared memory multiprocessor system
19Jing-Chiou Liou, Michael A. Palis A Comparison of General Approaches to Multiprocessor Scheduling. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF two phase method, task clustering, near optimal number of processors, task clustering algorithm, cluster merging, communication traffic minimizing, CTM, distributed memory parallel architectures, load balancing, multiprocessing systems, multiprocessor scheduling, task graphs, task graph scheduling
19Shobana Balakrishnan, Füsun Özgüner Providing message delivery guarantees in pipelined flit-buffered multiprocessor networks. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF message delivery guarantees, pipelined flit-buffered multiprocessor networks, periodic messages, multiple virtual channels, unbounded priority inversion, global priority order, flow control mechanism, preemptive pipelined circuit switching, preemption history stack, flit level simulations, feasible messages, real-time systems, parallel processing, message passing, wormhole routing, distributed memory systems, pipeline processing, real-time applications, distributed memory multiprocessors
19Srinivasan Tridandapani, Arun K. Somani, Upender R. Sandadi Low Overhead Multiprocessor Allocation Strategies Exploiting System Space Capacity for Fault Detection and Location. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Comparison approach, idle capacity, spare capacity, trustability, reliability, redundancy, fault detection, fault location, multiprocessor scheduling
19Almut Burchard, Jörg Liebeherr, Yingfeng Oh, Sang Hyuk Son New Strategies for Assigning Real-Time Tasks to Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF task assignment scheme, multiprocessor systems, Hard real-time systems, periodic tasks, rate-monotonic scheduling
19L. Bisone, A. Scianna A CAD multiprocessor system for advanced real-time process applications. Search on Bibsonomy PDP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF CAD multiprocessor system, advanced real-time process applications, control diagrams, synoptic pages, control station, symbol editors, parallel VME bus, Field Instrumentation Protocol, real control loops, parallel simulator signals, complex parallel real time architecture, real-time systems, networking, protocols, parallel system, client-server systems, distributed control, trends, automation system, power generation, control system CAD
19Ricardo Bianchini, Leonidas I. Kontothanassis Algorithms for categorizing multiprocessor communication under invalidate and update-based coherence protocols. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF shared-memory multiprocessor communication, invalidate-based cache coherence protocols, update-based cache coherence protocols, reference patterns, sharing patterns, useless data traffic, data traffic categorization, parallel programming, parallel programs, virtual machines, transaction processing, shared memory systems, coherence, cache storage, telecommunication traffic, cache misses, simulation algorithms, update transactions, memory protocols
19Ishfaq Ahmad, Yu-Kwong Kwok A parallel approach for multiprocessor scheduling. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF parallel approach, low complexity static scheduling, arbitrary network topologies, iPSC/860 hypercube, task graph size, communication-to-computation ratio, target system topology, scheduling, parallel algorithms, parallel algorithm, computational complexity, delays, message passing, multiprocessing systems, network topology, hypercube networks, multiprocessor scheduling, communication delays, task graph, message routing, parallel tasks, message-passing architectures, link contention
19I-Ling Yen, Farokh B. Bastani Robust parallel resource management in shared memory multiprocessor systems. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF parallel resource management, fault tolerance, resource allocation, fault tolerant computing, resource management, redundancy, redundancy, shared memory systems, failures, low overhead, high reliability, shared memory multiprocessor systems
19Lawrence Rauchwerger, David A. Padua Parallelizing while loops for multiprocessor systems. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF parallelizing while loops, do loops, conditional exits, sequential constructs, data dependence relations, sparse matrix packages, multiprocessing systems, multiprocessor systems, program compilers, parallelizing compilers, parallelising compilers, linked lists, iteration space, sparse matrix computations
19Emile Haddad Optimal load distribution for asynchronously scheduled homogeneous multiprocessor and distributed systems. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF optimal load distribution, asynchronously scheduled homogeneous multiprocessor systems, interacting tasks, identical processors, job completion time minimization, execution initiation times, earliest availability, load parameters, optimal load allocation, uneven module distribution, distributed systems, resource allocation, distributed processing, multiprocessing systems, processor scheduling, minimisation, system parameters, processor assignment
19Shahram Latifi, Manju V. Hegde, Morteza Naraghi-Pour Conditional Connectivity Measures for Large Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF conditional connectivity, large regular graphs, n-dimensional cube, fault tolerant computing, hypercube, multiprocessing systems, multiprocessor systems, hypercube networks, vertex connectivity
19Josep Torrellas, Monica S. Lam, John L. Hennessy False Sharing ans Spatial Locality in Multiprocessor Caches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF multiprocessor caches, coherence transactions, interleaved fashion, programmer-transparent method, performance evaluation, shared-memory multiprocessors, program compilers, shared memory systems, buffer storage, data cache, spatial locality, false sharing, shared data, cache block, cache miss rates
19Brian M. Carlson, Lawrence W. Dowdy Static Processor Allocation in a Soft Real-Time Multiprocessor Environment. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF static processor allocation, soft real-time multiprocessor environment, parallelenvironment, static allocation policies, equal partitions, two partitions, 16-node iPSC/2hypercube, real-time systems, resource allocation, hypercube networks, multiprocessing programs
19Kamal Kumar Jain, V. Rajaraman Lower and Upper Bounds on Time for Multiprocessor Optimal Schedules. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF lower time bound, upper time bound, multiprocessor optimal schedules, minimum processing time, directed acyclic task graph, worst case behavior, minimum processor number, randomly generated dense task graphs, scheduling, performance evaluation, parallel algorithms, parallel processing, directed graphs, minimisation
19Shahram Ghandeharizadeh, David J. DeWitt MAGIC: A Multiattribute Declustering Mechanism for Multiprocessor Database Machines. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF multiattribute declusteringmechanism, multiprocessor database machines, partitioningattribute, multiattribute grid declustering, parallel programming, distributed databases, database management systems, multiprocessing systems, special purpose computers, data placement, MAGIC, parallel database systems, shared-nothing architecture
19David J. Lilja The Impact of Parallel Loop Scheduling Strategies on Prefetching in a Shared Memory Multiprocessor. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF parallel loop scheduling, numerical Fortran programs, single-word cache blocks, guidedself-scheduling, scheduling, parallel programming, prefetching, shared memory multiprocessor, shared memory systems, cache coherence, trace-driven simulations, buffer storage, data caches, memory performance, false sharing, performanceevaluation, cache pollution
19Gabriel Matsliach, Oded Shmueli A Combined Method for Maintaining Large Indices in Multiprocessor Multidisk Environments. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF large index maintenance, multiprocessor multidisk environments, secondary memory indices, dedicated secondary memory, local broadcast network, straightforward method, index record partitioning, local B/sup tree, totally distributed B/sup tree method, combined distribution method, tightly coupled environments, redundant array of inexpensive disks, distributed indices, simulation, performance evaluation, performance, data structures, indexing, distributed databases, shared memory, multiprocessing systems, database systems, distributed file systems, RAID, tree data structures, declustering, magnetic disc storage, approximate analysis
19Eileen Tien Lin, Edward Omiecinski, Sudhakar Yalamanchili Large Join Optimization on a Hypercube Multiprocessor. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF large join optimization, parallel large join plan, initial solution, iterative local-improvement method, Intel iPSC/2 hypercube machine, performance, computational complexity, parallel programming, query processing, relational database, heuristics, simulated annealing, optimisation, iterative methods, hypercube networks, relational algebra, heuristic programming, NP-hard problem, hypercube multiprocessor, problem complexity, inherent parallelism, large join queries
19Arthur L. Corcoran, Roger L. Wainwright A parallel island model genetic algorithm for the multiprocessor scheduling problem. Search on Bibsonomy SAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF parallel island model, genetic algorithms, parallel processing, multiprocessor scheduling
19Douglas M. Blough, Andrzej Pelc Diagnosis and Repair in Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF diagnosis and repair, grids, fault tolerant computing, probability, hypercubes, meshes, multiprocessing systems, multiprocessor systems, probabilistic model, rings, tori, faulty processors, sequential diagnosis
19Chunming Qiao, Rami G. Melhem Time-Division Optical Communications in Multiprocessor Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF optical communication structure, multiprocessor arrays, high communication bandwidth, optical waveguides, optical signal transmissions, unidirectional propagation, predictable propagation delays, message pipelining, TDM approaches, communication effectiveness, clock distribution method, potential synchronization problems, optical waveguides, multiprocessing systems, simulation results, optical communication, time-division multiplexing, time division multiplexing, optical information processing
19Ramesh K. Sitaraman, Niraj K. Jha Optimal Design of Checks for Error Detection and Location in Fault-Tolerant Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF error location, optimal design of checks, fault-tolerant multiprocessor systems, RANDGEN, arbitrary data-check, majority diagnosability, UNIFGEN, uniform checks, fault tolerant computing, multiprocessing systems, error detection, error detection, algorithm-based fault tolerance
Displaying result #501 - #600 of 7713 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license