The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for pipelining with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1972-1979 (17) 1980-1984 (17) 1985-1986 (22) 1987-1988 (24) 1989 (17) 1990 (26) 1991 (17) 1992 (27) 1993 (28) 1994 (43) 1995 (59) 1996 (51) 1997 (52) 1998 (52) 1999 (59) 2000 (66) 2001 (66) 2002 (72) 2003 (82) 2004 (91) 2005 (115) 2006 (114) 2007 (98) 2008 (109) 2009 (76) 2010 (30) 2011 (26) 2012 (15) 2013 (26) 2014 (25) 2015 (30) 2016 (26) 2017 (27) 2018 (24) 2019 (26) 2020 (15) 2021 (21) 2022 (28) 2023 (30) 2024 (5)
Publication types (Num. hits)
article(496) book(1) incollection(9) inproceedings(1241) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1997 occurrences of 975 keywords

Results
Found 1754 publication records. Showing 1754 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Steve Dai, Ritchie Zhao, Gai Liu, Shreesha Srinath, Udit Gupta, Christopher Batten, Zhiru Zhang Dynamic Hazard Resolution for Pipelining Irregular Loops in High-Level Synthesis. Search on Bibsonomy FPGA The full citation details ... 2017 DBLP  BibTeX  RDF
15Odysseas Zografos, A. De Meester, Eleonora Testa, Mathias Soeken, Pierre-Emmanuel Gaillardon, Giovanni De Micheli, Luca Gaetano Amarù, Praveen Raghavan, Francky Catthoor, Rudy Lauwereins Wave pipelining for majority-based beyond-CMOS technologies. Search on Bibsonomy DATE The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Thomas J. Repetti, João Pedro Cerqueira, Martha A. Kim, Mingoo Seok Pipelining a triggered processing element. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Jennifer Andreoli-Fang, John T. Chapman Latency Reduction for Mobile Backhaul by Pipelining LTE and DOCSIS. Search on Bibsonomy GLOBECOM The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Steve Dai, Gai Liu, Ritchie Zhao, Zhiru Zhang Enabling adaptive loop pipelining in high-level synthesis. Search on Bibsonomy ACSSC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Junyi Liu, John Wickerson, Samuel Bayliss, George A. Constantinides Run fast when you can: Loop pipelining with uncertain and non-uniform memory dependencies. Search on Bibsonomy ACSSC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Jordi Cortadella, Jordi Petit A hierarchical mathematical model for automatic pipelining and allocation using elastic systems. Search on Bibsonomy ACSSC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Mahesh A. Iyer CAD Opportunities with Hyper-Pipelining. Search on Bibsonomy ISPD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Fun Chan, Ka Kan Lo, Wai Yeung Chung Looping Pipelining approach to Knowledge Base Population in Optimized Implementation. Search on Bibsonomy TAC The full citation details ... 2017 DBLP  BibTeX  RDF
15Xuewen Cui, Thomas R. W. Scogland, Bronis R. de Supinski, Wu-chun Feng Directive-Based Partitioning and Pipelining for Graphics Processing Units. Search on Bibsonomy IPDPS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Ichitaro Yamazaki, Mark Hoemmen, Piotr Luszczek, Jack J. Dongarra Improving Performance of GMRES by Reducing Communication and Pipelining Global Collectives. Search on Bibsonomy IPDPS Workshops The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Runhui Li, Xiaolu Li 0002, Patrick P. C. Lee, Qun Huang 0001 Repair Pipelining for Erasure-Coded Storage. Search on Bibsonomy USENIX Annual Technical Conference The full citation details ... 2017 DBLP  BibTeX  RDF
15Mincong Yu, Deyu Qi 0001 Automatic Planning on Sequence of Operations Based on Entity-Flow Model towards Pipelining Manufacturing System. Search on Bibsonomy CSE/EUC (1) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Francesco Leotta, Massimo Mecella, Daniele Sora, Giovanni Spinelli Pipelining user trajectory analysis and visual process maps for habit mining. Search on Bibsonomy SmartWorld/SCALCOM/UIC/ATC/CBDCom/IOP/SCI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Lars Arge, Mathias Rav, Svend C. Svendsen, Jakob Truelsen External memory pipelining made easy with TPIE. Search on Bibsonomy IEEE BigData The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Sen Tao, Naveen Verma, Ryan M. Corey, Andrew C. Singer A 10-b statistical ADC employing pipelining and sub-ranging in 32nm CMOS. Search on Bibsonomy ISCAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Yang Yu, Hong An, Junshi Chen, Weihao Liang, Qingqing Xu, Yong Chen 0001 Pipelining Computation and Optimization Strategies for Scaling GROMACS on the Sunway Many-Core Processor. Search on Bibsonomy ICA3PP The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Zhaoshi Li, Leibo Liu, Yangdong Deng, Shouyi Yin, Yao Wang, Shaojun Wei Aggressive Pipelining of Irregular Applications on Reconfigurable Hardware. Search on Bibsonomy ISCA The full citation details ... 2017 DBLP  BibTeX  RDF
15Georgios Dimitriou, Michael F. Dossis, Georgios I. Stamoulis Loop pipelining in high-level synthesis with CCC. Search on Bibsonomy MOCAST The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Esma Yildirim, Engin Arslan, JangYoung Kim, Tevfik Kosar Application-Level Optimization of Big Data Transfers through Pipelining, Parallelism and Concurrency. Search on Bibsonomy IEEE Trans. Cloud Comput. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Shouyi Yin, Dajiang Liu, Yu Peng, Leibo Liu, Shaojun Wei Improving Nested Loop Pipelining on Coarse-Grained Reconfigurable Architectures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Hyeongseok Kang, Kanghee Kim, Hyun-Wook Jin Real-Time Software Pipelining for Multidomain Motion Controllers. Search on Bibsonomy IEEE Trans. Ind. Informatics The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Pramod Kumar Meher Seamless Pipelining of DSP Circuits. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Yoonjin Kim, Hyejin Joo, Sohyun Yoon Inter-coarse-grained reconfigurable architecture reconfiguration technique for efficient pipelining of kernel-stream on coarse-grained reconfigurable architecture-based multi-core architecture. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Bozhong Liu, Weidong Qiu, Lin Jiang, Zheng Gong Software pipelining for graphic processing unit acceleration: Partition, scheduling and granularity. Search on Bibsonomy Int. J. High Perform. Comput. Appl. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Daniel A. Orozco, Elkin Garcia, Robert S. Pavel, Jaime Arteaga, Guang R. Gao The Design and Implementation of TIDeFlow: A Dataflow-Inspired Execution Model for Parallel Loops and Task Pipelining. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Majid Khabbazian, Di Niu Achieving Optimal Block Pipelining in Organized Network Coded Gossip. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Ali Azarian, João M. P. Cardoso Pipelining data-dependent tasks in FPGA-based multicore architectures. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Ning Liu, Dengming Zhu, Zhaoqi Wang, Hong Qin 0001, Jianfeng Zhan, Jinzhu Gao Pipelining image compositing in heterogeneous networking environments. Search on Bibsonomy Comput. Animat. Virtual Worlds The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Ricardo Morla An initial study of the effect of pipelining in hiding HTTP/2.0 response sizes. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
15Rasmita Dash, Bijan Bihari Misra Pipelining the ranking techniques for microarray data classification: A case study. Search on Bibsonomy Appl. Soft Comput. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Teng Xu 0001, Miodrag Potkonjak Pipelining for dual supply voltages. Search on Bibsonomy PATMOS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Habib Smei, Kamel Smiri, Abderrazak Jemai Pipelining the HEVC decoder on ZedBoard plateform. Search on Bibsonomy IDT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Aaron Landy, Greg Stitt Doubling FPGA Throughput via a Soft SerDes Architecture for Full-Bandwidth Serial Pipelining (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Romuald Corbel, Emile Stephan, Nathalie Omnès HTTP/1.1 pipelining vs HTTP2 in-the-clear: Performance comparison. Search on Bibsonomy NOTERE The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Li Wang, Minqi Zhou, Zhenjie Zhang, Yin Yang 0001, Aoying Zhou, Dina Bitton Elastic Pipelining in an In-Memory Database Cluster. Search on Bibsonomy SIGMOD Conference The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Junyi Liu, John Wickerson, George A. Constantinides Loop Splitting for Efficient Pipelining in High-Level Synthesis. Search on Bibsonomy FCCM The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Peipei Zhou 0001, Hyunseok Park, Zhenman Fang, Jason Cong, André DeHon Energy Efficiency of Full Pipelining: A Case Study for Matrix Multiplication. Search on Bibsonomy FCCM The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Stephan Oepen, Jonathon Read, Tatjana Scheffler, Uladzimir Sidarenka, Manfred Stede, Erik Velldal, Lilja Øvrelid OPT: Oslo-Potsdam-Teesside. Pipelining Rules, Rankers, and Classifier Ensembles for Shallow Discourse Parsing. Search on Bibsonomy CoNLL Shared Task The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Stjepan Picek, Dominik Sisejkovic, Domagoj Jakobovic, Lejla Batina, Bohan Yang 0001, Danilo Sijacic, Nele Mentens Extreme Pipelining Towards the Best Area-Performance Trade-Off in Hardware. Search on Bibsonomy AFRICACRYPT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Xuewen Cui, Thomas R. W. Scogland, Bronis R. de Supinski, Wu-Chun Feng Directive-Based Pipelining Extension for OpenMP. Search on Bibsonomy CLUSTER The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Mincong Yu, Deyu Qi 0001 A physical-effect-awared part behavior modeling method on control integration for pipelining manufacturing modules. Search on Bibsonomy ICIA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Edelmira Pasarella, Maria-Esther Vidal, Cristina Zoltan MapReduce vs. Pipelining Counting Triangles. Search on Bibsonomy AMW The full citation details ... 2016 DBLP  BibTeX  RDF
15Julián Aráoz, Cristina Zoltan Counting Triangles in Parallel An Application of Pipelining. Search on Bibsonomy AMW The full citation details ... 2016 DBLP  BibTeX  RDF
15Ali Azarian Task-Level Pipelining in Configurable Multicore Architectures Search on Bibsonomy 2016   RDF
15Kazuhito Ito A Low Power and Hardware Efficient Syndrome Key Equation Solver Architecture and Its Folding with Pipelining. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Qiang Liu, Zhenyu Xu, Ye Yuan High throughput and secure advanced encryption standard on field programmable gate array with fine pipelining and enhanced key expansion. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Deepa Yagain, Sivanag Balla, A. Vijaya Krishna Efficient Audio Filter Using Folded Pipelining Architecture Based on Retiming Using Evolutionary Computation. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Vijayalakshmi Saravanan, Alagan Anpalagan, Isaac Woungang An energy-delay product study on chip multi-processors for variable stage pipelining. Search on Bibsonomy Hum. centric Comput. Inf. Sci. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Lok-Won Kim, Dong-U Lee, John D. Villasenor Automated Iterative Pipelining for ASIC Design. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Julián Aráoz, Cristina Zoltan Parallel Triangles Counting Using Pipelining. Search on Bibsonomy CoRR The full citation details ... 2015 DBLP  BibTeX  RDF
15Tobias Strauch Using System Hyper Pipelining (SHP) to Improve the Performance of a Coarse-Grained Reconfigurable Architecture (CGRA) Mapped on an FPGA. Search on Bibsonomy CoRR The full citation details ... 2015 DBLP  BibTeX  RDF
15Priyankar Talukdar Power-Aware Automated Pipelining of Combinational Circuits. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Muhammad Asif 0010, Imtiaz Ahmad Taj, S. M. Ziauddin, Maaz Bin Ahmad, Muhammad Tahir Qadri A Hybrid Scheme Based on Pipelining and Multitasking in Mobile Application Processors for Advanced Video Coding. Search on Bibsonomy Sci. Program. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Jordi Cortadella, Marc Galceran Oms, Michael Kishinevsky, Sachin S. Sapatnekar RTL Synthesis: From Logic Synthesis to Automatic Pipelining. Search on Bibsonomy Proc. IEEE The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Sen Tao, Emmanuel Abbe, Naveen Verma Statistical ADC Enhanced by Pipelining and Subranging. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Mathias Faust, Martin Kumm, Chip-Hong Chang, Peter Zipf Efficient structural adder pipelining in transposed form FIR filters. Search on Bibsonomy DSP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Naoto Nojiri, Lin Meng, Katsuhiro Yamazaki FPGA-based BLOB Detection Using Dual-pipelining (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Shouyi Yin, Dajiang Liu, Leibo Liu, Shaojun Wei, Yike Guo Joint affine transformation and loop pipelining for mapping nested loop on CGRAs. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
15Roel Jordans, Henk Corporaal High-level software-pipelining in LLVM. Search on Bibsonomy SCOPES The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Kai Shuang, Tong Zhang, Zhenjiang Dong, Peng Xu Impact of HTTP Pipelining Mechanism for Web Browsing Optimization. Search on Bibsonomy IEEE MS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Junyi Liu, Samuel Bayliss, George A. Constantinides Offline Synthesis of Online Dependence Testing: Parametric Loop Pipelining for HLS. Search on Bibsonomy FCCM The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Tobias Strauch The Effects of System Hyper Pipelining on Three Computational Benchmarks Using FPGAs. Search on Bibsonomy ARC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Mingxing Tan, Gai Liu, Ritchie Zhao, Steve Dai, Zhiru Zhang ElasticFlow: A Complexity-Effective Approach for Pipelining Irregular Loop Nests. Search on Bibsonomy ICCAD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Jun-Wei Li, ShiNing Li, Yu Zhang 0034, Bin Guo 0001, Zhe Yang 0008 SAPM: Self-Adjusting Pipelining Mechanism for Efficient Bulk Data Dissemination in Smart Homes. Search on Bibsonomy UIC/ATC/ScalCom The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Yelena Kropivnitskaya, Jinhui Qin, Kristy F. Tiampo, Michael A. Bauer 0001 A Pipelining Implementation for High Resolution Seismic Hazard Maps Production. Search on Bibsonomy ICCS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Ali Azarian, João M. P. Cardoso Reducing misses to external memory accesses in task-level pipelining. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Xuan-Thuan Nguyen, Hong-Thu Nguyen, Cong-Kha Pham Parallel pipelining configurable multi-port memory controller for multimedia applications. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Xin Lou, Pramod Kumar Meher, Ya Jun Yu Fine-grained pipelining for multiple constant multiplications. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Eyad Taqieddin, Ola Abu-Rjei, Khaldoon Mhaidat, Raed Bani-Hani Efficient FPGA Implementation of the RC4 Stream Cipher using Block RAM and Pipelining. Search on Bibsonomy EUSPN/ICTH The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Makoto Ikeda Design and optimization of asynchronous circuits with gate-level pipelining. Search on Bibsonomy ASICON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Masato Tamura, Atsushi Ito, Makoto Ikeda Optimal design on asynchronous system with gate-level pipelining. Search on Bibsonomy ASICON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Srinath R. Naidu Geometric Programming Formulation for Gate Sizing with Pipelining Constraints. Search on Bibsonomy VLSID The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Ritchie Zhao, Mingxing Tan, Steve Dai, Zhiru Zhang Area-efficient pipelining for FPGA-targeted high-level synthesis. Search on Bibsonomy DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Shingo Kusakabe, Kenshu Seto Forwarding Unit Generation for Loop Pipelining in High-level Synthesis. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Jangyoung Kim Tuning GridFTP Pipelining, Concurrency and Parallelism Based on Historical Data. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Thomas Carle, Dumitru Potop-Butucaru Predicate-aware, makespan-preserving software pipelining of scheduling tables. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Disha Puri, Sandip Ray, Kecheng Hao, Fei Xie Using ACL2 to Verify Loop Pipelining in Behavioral Synthesis. Search on Bibsonomy ACL2 The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Lejla Batina, Domagoj Jakobovic, Nele Mentens, Stjepan Picek, Antonio de la Piedra, Dominik Sisejkovic S-box pipelining using genetic algorithms for high-throughput AES implementations: How fast can we go? Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2014 DBLP  BibTeX  RDF
15Bing Xu, Shouyi Yin, Leibo Liu, Shaojun Wei Low-power loop pipelining mapping onto CGRA utilizing variable dual VDD. Search on Bibsonomy MWSCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Lin Meng, Keisuke Matsuyama, Naoto Nojiri, Tomonori Izumi, Katsuhiro Yamazaki Pipelining FPPGA-based defect detction in FPDs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Kecheng Hao, Sandip Ray, Fei Xie Equivalence checking for function pipelining in behavioral synthesis. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Priyankar Talukdar Power-Aware Automated Pipelining of Combinational Circuits. Search on Bibsonomy ISED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Hongbo Rong, Hyunchul Park, Youfeng Wu, Cheng Wang 0013 Just-In-Time Software Pipelining. Search on Bibsonomy CGO The full citation details ... 2014 DBLP  BibTeX  RDF
15Ali Azarian, João M. P. Cardoso Coarse/Fine-grained Approaches for Pipelining Computing Stages in FPGA-Based Multicore Architectures. Search on Bibsonomy Euro-Par Workshops (2) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Reza Mokhtari, Michael Stumm BigKernel - High Performance CPU-GPU Communication Pipelining for Big Data-Style Applications. Search on Bibsonomy IPDPS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Girish Venkataramani, Yongfeng Gu System-Level Retiming and Pipelining. Search on Bibsonomy FCCM The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Sounak Samanta, Mrityunjoy Chakraborty FPGA based implementation of high speed tunable notch filter using pipelining and unfolding. Search on Bibsonomy NCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Yogesh Murarka, Pankaj Shailendra Gode, Sirish Kumar Pasupuleti, Soma Kohli Software pipelining of dataflow programs with dynamic constructs on multi-core processor. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Majid Khabbazian, Di Niu Achieving Absolutely Optimal Block Pipelining in Organized Network Coded Gossip. Search on Bibsonomy ICDCS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Lejla Batina, Domagoj Jakobovic, Nele Mentens, Stjepan Picek, Antonio de la Piedra, Dominik Sisejkovic S-box Pipelining Using Genetic Algorithms for High-Throughput AES Implementations: How Fast Can We Go? Search on Bibsonomy INDOCRYPT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Jia Li, Song Min Kim, Tian He 0001 Circular Pipelining: Minimizing Round-Trip Delay in Low-Duty-Cycle Wireless Networks. Search on Bibsonomy ICNP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Disha Puri, Sandip Ray, Kecheng Hao, Fei Xie Mechanical Certification of Loop Pipelining Transformations: A Preview. Search on Bibsonomy ITP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Heesun Kim, Seungyun Sohn, Yoonjin Kim Ring-based sharing fabric for efficient pipelining of kernel-stream on CGRA-based multi-core architecture. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Devarshi Ghoshal, Lavanya Ramakrishnan Provisioning, Placement and Pipelining Strategies for Data-Intensive Applications in Cloud Environments. Search on Bibsonomy IC2E The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Fei Chen 0013, Xiaowei Wu 0001 Perfect Pipelining for Streaming Large File in Peer-to-Peer Networks. Search on Bibsonomy IFIP TCS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Yang Zhou, Bingbing Ni, Shuicheng Yan, Pierre Moulin, Qi Tian 0001 Pipelining Localized Semantic Features for Fine-Grained Action Recognition. Search on Bibsonomy ECCV (4) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Ali Charara 0001, Hatem Ltaief, Damien Gratadour, David E. Keyes, Arnaud Sevin, Ahmad Abdelfattah, Eric Gendron, Carine Morel, Fabrice Vidal Pipelining Computational Stages of the Tomographic Reconstructor for Multi-Object Adaptive Optics on a Multi-GPU System. Search on Bibsonomy SC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Steve Dai, Mingxing Tan, Kecheng Hao, Zhiru Zhang Flushing-Enabled Loop Pipelining for High-Level Synthesis. Search on Bibsonomy DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15M. Madheswaran, T. Menakadevi An Improved Direct Digital Synthesizer Using Hybrid Wave Pipelining and CORDIC algorithm for Software Defined Radio. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 1754 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license