The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for speculation with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1994 (18) 1995-1996 (15) 1997 (15) 1998 (24) 1999 (38) 2000 (41) 2001 (37) 2002 (34) 2003 (53) 2004 (56) 2005 (56) 2006 (44) 2007 (46) 2008 (54) 2009 (49) 2010 (49) 2011 (39) 2012 (26) 2013 (26) 2014 (30) 2015 (30) 2016 (35) 2017 (19) 2018 (17) 2019 (42) 2020 (32) 2021 (43) 2022 (28) 2023 (35) 2024 (4)
Publication types (Num. hits)
article(268) incollection(7) inproceedings(738) phdthesis(21) proceedings(1)
Venues (Conferences, Journals, ...)
ISCA(34) CoRR(33) MICRO(28) HPCA(25) ASPLOS(23) IPDPS(21) IEEE PACT(18) ICS(17) ICCD(15) IEEE Trans. Computers(15) LCPC(15) NeSp-NLP@ACL(15) PPoPP(15) PLDI(14) CGO(13) DAC(13) More (+10 of total 434)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 811 occurrences of 404 keywords

Results
Found 1035 publication records. Showing 1035 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Daniel Baudisch, Klaus Schneider 0001 Evaluation of Speculation in Out-of-Order Execution of Synchronous Dataflow Networks. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Dimitra Papagiannopoulou, Giuseppe Capodanno, Tali Moreshet, Maurice Herlihy, R. Iris Bahar Energy-Efficient and High-Performance Lock Speculation Hardware for Embedded Multicore Systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Noa P. Cruz Díaz Negation and Speculation Detection in Clinical and Review Texts. Search on Bibsonomy Proces. del Leng. Natural The full citation details ... 2015 DBLP  BibTeX  RDF
16Marc Joëts Heterogeneous beliefs, regret, and uncertainty: The role of speculation in energy price dynamics. Search on Bibsonomy Eur. J. Oper. Res. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Naga Durga Prasad Avirneni, Prem Ramesh, Arun K. Somani Managing contamination delay to improve Timing Speculation architectures. Search on Bibsonomy PeerJ Prepr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Soumyadeep Ghosh, Yongjun Park 0001, Arun Raman Enabling Efficient Alias Speculation. Search on Bibsonomy LCTES The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Yihong Zhang 0001, Claudia Szabo, Quan Z. Sheng, Xiu Susie Fang Classifying Perspectives on Twitter: Immediate Observation, Affection, and Speculation. Search on Bibsonomy WISE (1) The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Rong Ye, Feng Yuan, Jie Zhang 0046, Qiang Xu 0001 On the premises and prospects of timing speculation. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
16Ying-Chieh Wang, I-Hsin Chung, Che-Rung Lee, Michael Perrone, Yeh-Ching Chung Hardware Thread-Level Speculation Performance Analysis. Search on Bibsonomy HPCC/CSS/ICESS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Xiaoqi Ren, Ganesh Ananthanarayanan, Adam Wierman, Minlan Yu Hopper: Decentralized Speculation-aware Cluster Scheduling at Scale. Search on Bibsonomy SIGCOMM The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Davide Cingolani, Alessandro Pellegrini 0001, Francesco Quaglia RAMSES: Reversibility-Based Agent Modeling and Simulation Environment with Speculation-Support. Search on Bibsonomy Euro-Par Workshops The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Yuki Shoji, Atsushi Nunome, Hiroaki Hirata, Kiyoshi Shibayama A Large-Scale Speculation for the Thread-Level Parallelization. Search on Bibsonomy ACIT-CSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Takashi Sakakura A speculation on a framework that provides highly organized services for manufacturing. Search on Bibsonomy CASE The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Kyungmin Lee, David Chu, Eduardo Cuervo, Johannes Kopf 0001, Yury Degtyarev, Sergey Grizan, Alec Wolman, Jason Flinn Outatime: Using Speculation to Enable Low-Latency Continuous Interaction for Mobile Cloud Gaming. Search on Bibsonomy MobiSys The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Ron Wakkary, William Odom, Sabrina Hauser, Garnet D. Hertz, Henry W. J. Lin Material speculation: actual artifacts for critical inquiry. Search on Bibsonomy Aarhus Conference on Critical Alternatives The full citation details ... 2015 DBLP  BibTeX  RDF
16Jun-Si Wu, Yuan-Fu Sheiue, Peng-Sheng Chen Thread-Level Value Speculation for Image-Processing Applications. Search on Bibsonomy ICPP Workshops The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Tanvir Ahmed 0004, Yuko Hara-Azumi Timing speculation-aware instruction set extension for resource-constrained embedded systems. Search on Bibsonomy ASAP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Shinnosuke Yoshida, Youhua Shi, Masao Yanagisawa, Nozomu Togawa Improved monitoring-path selection algorithm for suspicious timing error prediction based timing speculation. Search on Bibsonomy ASICON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Huansong Fu, Yue Zhu 0002, Weikuan Yu A case study of MapReduce speculation for failure recovery. Search on Bibsonomy DISCS@SC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Yanchao Lu, Long Zheng 0001, Li Li 0012, Minyi Guo Parallelism vs. speculation: exploiting speculative genetic algorithm on GPU. Search on Bibsonomy PMAM@PPoPP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Sai Charan Koduru, Keval Vora, Rajiv Gupta 0001 Optimizing Caching DSM for Distributed Software Speculation. Search on Bibsonomy CLUSTER The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Zhijia Zhao 0001, Xipeng Shen On-the-Fly Principled Speculation for FSM Parallelization. Search on Bibsonomy ASPLOS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Arnamoy Bhattacharyya, José Nelson Amaral, Hal Finkel Data-dependence profiling to enable safe thread level speculation. Search on Bibsonomy CASCON The full citation details ... 2015 DBLP  BibTeX  RDF
16Bowei Zou, Qiaoming Zhu, Guodong Zhou Negation and Speculation Identification in Chinese Language. Search on Bibsonomy ACL (1) The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16José Aguilar 0001, Kahlil Campero An explicit parallelism study based on thread-level speculation. Search on Bibsonomy CLEI Electron. J. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Jan Kasper Martinsen, Håkan Grahn, Anders Isberg Heuristics for Thread-Level Speculation in Web Applications. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Jeffrey Bardzell, Shaowen Bardzell "A great and troubling beauty": cognitive speculation and ubiquitous computing. Search on Bibsonomy Pers. Ubiquitous Comput. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Hyungjun Kim, Boris Grot, Paul V. Gratz, Daniel A. Jiménez Spatial Locality Speculation to Reduce Energy in Chip-Multiprocessor Networks-on-Chip. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Mehrzad Samadi, Amir Hormati, Janghaeng Lee, Scott A. Mahlke Leveraging GPUs using cooperative loop speculation. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Jan Kasper Martinsen, Håkan Grahn, Anders Isberg The Effects of Parameter Tuning in Software Thread-Level Speculation in JavaScript Engines. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Dan Geer, Gunnar Peterson Margin of Safety or Speculation? Measuring Security Book Value. Search on Bibsonomy login Usenix Mag. The full citation details ... 2014 DBLP  BibTeX  RDF
16 Contribute more than algorithmic speculation. Search on Bibsonomy Commun. ACM The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Gilles Duboscq, Thomas Würthinger, Hanspeter Mössenböck Speculation without regret: reducing deoptimization meta-data in the Graal compiler. Search on Bibsonomy PPPJ The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Sahil Kumar Aggarwal, Vikas Deep, Robin Singh Speculation of CMMI in agile methodology. Search on Bibsonomy ICACCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Chadi Aoun, Eng Chew, Savanid Vatanasakdakul Beyond Speculation: A Holistic Investigation into Factors Affecting Social Media Utilisation in the Workplace. Search on Bibsonomy AMCIS The full citation details ... 2014 DBLP  BibTeX  RDF
16Anys Bacha, Radu Teodorescu Using ECC Feedback to Guide Voltage Speculation in Low-Voltage Processors. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Arthur Perais, André Seznec Practical data value speculation for future high-end processors. Search on Bibsonomy HPCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Jan Kasper Martinsen, Håkan Grahn, Anders Isberg, Henrik Sundstrom Reducing Memory in Software-Based Thread-Level Speculation for JavaScript Virtual Machine Execution of Web Applications. Search on Bibsonomy HPCC/CSS/ICESS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Sergio Aldea, Alvaro Estebanez, Diego R. Llanos, Arturo González-Escribano A New GCC Plugin-Based Compiler Pass to Add Support for Thread-Level Speculation into OpenMP. Search on Bibsonomy Euro-Par The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Ying-Chieh Wang, Che-Rung Lee, Yeh-Ching Chung, I-Hsin Chung, Michael Perrone Performance Modeling for Hardware Thread-Level Speculation. Search on Bibsonomy IPDPS Workshops The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Kyungmin Lee, David Chu, Eduardo Cuervo, Alec Wolman, Jason Flinn Demo: DeLorean: using speculation to enable low-latency continuous interaction for mobile cloud gaming. Search on Bibsonomy MobiSys The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Michael Yung Chung Wei, Matias Bjørling, Philippe Bonnet, Steven Swanson I/O Speculation for the Microsecond Era. Search on Bibsonomy USENIX Annual Technical Conference The full citation details ... 2014 DBLP  BibTeX  RDF
16Jun Ohno, Kei Hiraki Accelerating cache coherence mechanism with speculation. Search on Bibsonomy ICS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Jih-Kwon Peir, Shih-Chang Kevin Lai, Shih-Lien Lu, Jared Stark, Konrad Lai Author retrospective for bloom filtering cache misses for accurate data speculation and prefetching. Search on Bibsonomy ICS 25th Anniversary The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Xin Li Investor Psychological Bias and Speculation: Asymmetric Impacts of Big Data on Commodity Price. Search on Bibsonomy ICIS The full citation details ... 2014 DBLP  BibTeX  RDF
16Meirong Li, Yinliang Zhao, Yongqiang Si Dynamic Core Allocation for Energy-Efficient Thread-Level Speculation. Search on Bibsonomy CSE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Jan Kasper Martinsen, Håkan Grahn, Anders Isberg An argument for thread-level speculation and just-in-time compilation in the Google's V8 JavaScript engine. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Panagiota Fatourou, Eleni Kanellou, Eleftherios Kosmas, Md Forhad Rabbi WFR-TM: Wait-Free Readers without Sacrificing Speculation of Writers. Search on Bibsonomy OPODIS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Rei Odaira, Takuya Nakaike Thread-level speculation on off-the-shelf hardware transactional memory. Search on Bibsonomy IISWC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Fan Xu, Li Shen 0007, Zhiying Wang 0003, Hui Guo 0004, Bo Su, Wei Chen 0009 Improving Speculation Accuracy with Inter-thread Fetching Value Prediction. Search on Bibsonomy ICA3PP (2) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Bowei Zou, Guodong Zhou, Qiaoming Zhu Negation and Speculation Target Identification. Search on Bibsonomy NLPCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Esther B. Del Brio, Ilidio Lopes-e-Silva, Javier Perote Insider Trading, Earnings and Stock Based Compensation: A View to Speculation. Search on Bibsonomy DCAI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Zhijia Zhao 0001, Bo Wu 0002, Xipeng Shen Challenging the "embarrassingly sequential": parallelizing finite state machine-based computations through principled speculation. Search on Bibsonomy ASPLOS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Jan Kasper Martinsen Design and Implementation of Thread-Level Speculation in JavaScript Engines. Search on Bibsonomy 2014   RDF
16Jan Kasper Martinsen, Håkan Grahn, Anders Isberg Using Speculation to Enhance JavaScript Performance in Web Applications. Search on Bibsonomy IEEE Internet Comput. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Joan Puiggali, Boleslaw K. Szymanski, Teodor Jové, José-Luis Marzo Dynamic branch speculation in a speculative parallelization architecture for computer clusters. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16James Auger Speculative design: crafting the speculation. Search on Bibsonomy Digit. Creativity The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Swan Dubois, Rachid Guerraoui Introducing Speculation in Self-Stabilization - An Application to Mutual Exclusion Search on Bibsonomy CoRR The full citation details ... 2013 DBLP  BibTeX  RDF
16Swan Dubois, Rachid Guerraoui Spéculation et auto-stabilisation Search on Bibsonomy CoRR The full citation details ... 2013 DBLP  BibTeX  RDF
16Cain Evans, Konstantinos Pappas, Fatos Xhafa Utilizing artificial neural networks and genetic algorithms to build an algo-trading model for intra-day foreign exchange speculation. Search on Bibsonomy Math. Comput. Model. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Majedul Haque Sujon, R. Clint Whaley, Qing Yi Vectorization past dependent branches through speculation. Search on Bibsonomy PACT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Arnamoy Bhattacharyya Do inputs matter? using data-dependence profiling to evaluate thread level speculation in BG/Q. Search on Bibsonomy PACT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Kaushik Ravichandran 0001, Santosh Pande Multiverse: efficiently supporting distributed high-level speculation. Search on Bibsonomy OOPSLA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Rahulkumar Gayatri, Rosa M. Badia, Eduard Ayguadé Loop level speculation in a task based programming model. Search on Bibsonomy HiPC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Massimiliano Lorenzo Cappuccio The Seminal Speculation of a Precursor: Elements of Embodied Cognition and Situated AI in Alan Turing. Search on Bibsonomy PT-AI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Jin Lin, Xinmin Tian, John Ng Mis-speculation-Driven Compiler Framework for Aggressive Loop Automatic Parallelization. Search on Bibsonomy IPDPS Workshops The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16José Aguilar 0001, Kahlil Campero A library for parallel thread-level speculation. Search on Bibsonomy CLEI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Swan Dubois, Rachid Guerraoui Introducing speculation in self-stabilization: an application to mutual exclusion. Search on Bibsonomy PODC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Zhen Cao, Clark Verbrugge Adaptive Fork-Heuristics for Software Thread-Level Speculation. Search on Bibsonomy PPAM (1) The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Ivo Anjo, João P. Cachopo Improving Continuation-Powered Method-Level Speculation for JVM Applications. Search on Bibsonomy ICA3PP (1) The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Bowei Zou, Guodong Zhou, Qiaoming Zhu Tree Kernel-based Negation and Speculation Scope Detection with Structured Syntactic Parse Features. Search on Bibsonomy EMNLP The full citation details ... 2013 DBLP  BibTeX  RDF
16Fan Xu, Li Shen 0007, Zhiying Wang 0003, Hui Guo 0004, Bo Su, Wei Chen 0009 HEUSPEC: A Software Speculation Parallel Model. Search on Bibsonomy ICPP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Zhen Cao, Clark Verbrugge Mixed Model Universal Software Thread-Level Speculation. Search on Bibsonomy ICPP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Zhancheng Chen, Bowei Zou, Qiaoming Zhu, Peifeng Li Chinese Negation and Speculation Detection with Conditional Random Fields. Search on Bibsonomy NLPCC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Lingxiang Xiang, Michael Lee Scott Compiler aided manual speculation for high performance concurrent data structures. Search on Bibsonomy PPoPP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Dimitra Papagiannopoulou, R. Iris Bahar, Tali Moreshet, Maurice Herlihy, Andrea Marongiu, Luca Benini Transparent and energy-efficient speculation on NUMA architectures for embedded MPSoCs. Search on Bibsonomy MES The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Daniel S. McFarlin, Charles Tucker, Craig B. Zilles Discerning the dominant out-of-order performance advantage: is it speculation or dynamism? Search on Bibsonomy ASPLOS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Wonsun Ahn, Yuelu Duan, Josep Torrellas DeAliaser: alias speculation using atomic region support. Search on Bibsonomy ASPLOS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Tsutomu Inamoto, Yoshinobu Higami, Shin-ya Kobayashi Injecting speculation on ideal trajectories into a trip-based integer programming model for elevator operations. Search on Bibsonomy GCCE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16David Alexander Munday Energy Efficient Memory Speculation With Memory Latency Tolerance Supporting Sequential Consistency Without A Coherence Protocol. Search on Bibsonomy 2013   RDF
16Noa P. Cruz Díaz, Manuel J. Maña López, Jacinto Mata Vázquez, Victoria Pachón Álvarez A machine-learning approach to negation and speculation detection in clinical texts. Search on Bibsonomy J. Assoc. Inf. Sci. Technol. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Benjamin Thielmann, Jens Huthmann, Andreas Koch 0001 Memory Latency Hiding by Load Value Speculation for Reconfigurable Computers. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Rahul Nagpal, Anasua Bhowmik Criticality guided energy aware speculation for speculative multithreaded processors. Search on Bibsonomy Parallel Comput. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Erik Velldal, Lilja Øvrelid, Jonathon Read, Stephan Oepen Speculation and Negation: Rules, Rankers, and the Role of Syntax. Search on Bibsonomy Comput. Linguistics The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Qi Li 0034, Hong An, Wenbo Dai, Gongming Li, Bobin Deng, Yu Liu, Xiaomei Li, Shilei Wu Priority-based squash reducing methods in thread level speculation. Search on Bibsonomy Int. J. Inf. Technol. Commun. Convergence The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Geng Tian, Hui Peng, Chao Sun, Yijun Li Analysis of Reputation Speculation Behavior in China's C2C E-Commerce Market. Search on Bibsonomy J. Comput. The full citation details ... 2012 DBLP  BibTeX  RDF
16Sungjae Lee, Inhwan Lee Selective restart of threads for efficient thread-level speculation on multicore architecture. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Arnamoy Bhattacharyya Using combined profiling to decide when thread level speculation is profitable. Search on Bibsonomy PACT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Zhijia Zhao 0001, Bo Wu 0002, Xipeng Shen Speculative parallelization needs rigor: probabilistic analysis for optimal speculation of finite-state machine applications. Search on Bibsonomy PACT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Sergio Aldea, Diego R. Llanos Ferraris, Arturo González-Escribano Support for Thread-Level Speculation into OpenMP. Search on Bibsonomy IWOMP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Rong Ye, Feng Yuan, Hai Zhou 0001, Qiang Xu 0001 Clock skew scheduling for timing speculation. Search on Bibsonomy DATE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Jaewoong Sim, Gabriel H. Loh, Hyesoon Kim, Mike O'Connor, Mithuna Thottethodi A Mostly-Clean DRAM Cache for Effective Hit Speculation and Self-Balancing Dispatch. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Yun Zhang 0005, Soumyadeep Ghosh, Jialu Huang, Jae W. Lee, Scott A. Mahlke, David I. August Runtime asynchronous fault tolerance via speculation. Search on Bibsonomy CGO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Tay-Jyi Lin, Yu-Ting Kuo, Yu-Jung Tsai, Ting-Yu Shyu, Yuan-Hua Chu Energy-efficient RISC design with on-demand circuit-level timing speculation. Search on Bibsonomy ASP-DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Yuxi Liu, Rong Ye, Feng Yuan, Rakesh Kumar 0002, Qiang Xu 0001 On logic synthesis for timing speculation. Search on Bibsonomy ICCAD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Thomas Toifl, Michael Ruegg, Rajesh Inti, Christian Menolfi, Matthias Braendli, Marcel A. Kossel, Peter Buchmann, Pier Andrea Francese, Thomas Morf A 3.1mW/Gbps 30Gbps quarter-rate triple-speculation 15-tap SC-DFE RX data path in 32nm CMOS. Search on Bibsonomy VLSIC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Fan Xu, Shen Li, Zhiying Wang 0003 HVD-TLS: A Novel Framework of Thread Level Speculation. Search on Bibsonomy TrustCom The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Zhen Cao, Clark Verbrugge Language and Architecture Independent Software Thread-Level Speculation. Search on Bibsonomy LCPC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Ivo Anjo, João P. Cachopo A Software-Based Method-Level Speculation Framework for the Java Platform. Search on Bibsonomy LCPC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Sebastian M. Londono, José Pineda de Gyvez A better-than-worst-case circuit design methodology using timing-error speculation and frequency adaptation. Search on Bibsonomy SoCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 1035 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license