The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for temperature with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1974 (17) 1975-1983 (17) 1985-1987 (16) 1988-1989 (22) 1990-1991 (27) 1992 (15) 1993-1994 (39) 1995 (35) 1996 (30) 1997 (41) 1998 (56) 1999 (95) 2000 (113) 2001 (133) 2002 (177) 2003 (255) 2004 (314) 2005 (451) 2006 (535) 2007 (633) 2008 (660) 2009 (537) 2010 (452) 2011 (511) 2012 (487) 2013 (584) 2014 (658) 2015 (729) 2016 (733) 2017 (804) 2018 (982) 2019 (1038) 2020 (1101) 2021 (1200) 2022 (1293) 2023 (1339) 2024 (314)
Publication types (Num. hits)
article(8503) book(4) data(25) incollection(33) inproceedings(7804) phdthesis(74)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2113 occurrences of 1161 keywords

Results
Found 16443 publication records. Showing 16443 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Ayse Kivilcim Coskun, Tajana Simunic Rosing, Keith Whisnant, Kenny C. Gross Temperature-aware MPSoC scheduling for reducing hot spots and gradients. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18David R. Bild, Sanchit Misra, Thidapat Chantem, Prabhat Kumar 0002, Robert P. Dick, Xiaobo Sharon Hu, Li Shang, Alok N. Choudhary Temperature-aware test scheduling for multiprocessor systems-on-chip. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Takashi Isozaki, Noriji Kato, Maomi Ueno Minimum Free Energies with "Data Temperature" for Parameter Learning of Bayesian Networks. Search on Bibsonomy ICTAI (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Yufu Zhang, Ankur Srivastava 0001, Mohamed M. Zahran Chip level thermal profile estimation using on-chip temperature sensors. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Qun Nan, Yulin Lu, Youjun Liu, Yi Zeng Numerical Analysis in the Water Flowing Influence on the Temperature Distribution with a Water-Cooled Microwave Ablation Antenna. Search on Bibsonomy BMEI (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF thermal ablation, finite element method, microwave
18Shigenao Maruyama, Atsuki Komiya, Hiroki Takeda, Setsuya Aiba Development of Precise-temperature-controlled Cooling Apparatus for Medical Application by Using Peltier Effect. Search on Bibsonomy BMEI (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Woonki Na, Bei Gou A thermal equivalent circuit for PEM fuel cell temperature control design. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Toru Tanzawa A process- and temperature-tolerant power-on reset circuit with a flexible detection level higher than the bandgap voltage. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Edgar Mauricio Camacho-Galeano, Juliano Q. Moreira, Maicon Deivid Pereira, Adilson J. Cardoso, Carlos Galup-Montoro, Márcio C. Schneider Temperature performance of sub-1V ultra-low power current sources. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Xiaoling Yang, Qunxiong Zhu Application of Protocol Macro in Baking Temperature Control System. Search on Bibsonomy CSSE (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Basab Datta, Wayne P. Burleson Temperature measurement in Content Addressable Memory cells using bias-controlled VCO. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Deepa Kannan, Aviral Shrivastava, Sarvesh Bhardwaj, Sarma B. K. Vrudhula Power Reduction of Functional Units Considering Temperature and Process Variations. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Xiangrong Zhou, Chenjie Yu, Peter Petrov Compiler-driven register re-assignment for register file power-density and temperature reduction. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF power-density minimization, thermal management
18Yiping Xing, Chetan Nanjunda Mathur, Mohamed A. Haleem, Rajarathnam Chandramouli, K. P. Subbalakshmi Dynamic Spectrum Access with QoS and Interference Temperature Constraints. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Wireless communication, constrained optimization, mobile communication systems, access schemes
18Kunhyuk Kang, Haldun Kufluoglu, Kaushik Roy 0001, Muhammad Ashraful Alam Impact of Negative-Bias Temperature Instability in Nanoscale SRAM Array: Modeling and Analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Mario A. Muñoz, Jesús A. López, Eduardo Caicedo Bacteria Swarm Foraging Optimization for Dynamical Resource Allocation in a Multizone Temperature Experimentation Platform. Search on Bibsonomy Analysis and Design of Intelligent Systems using Soft Computing Techniques The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18B. Lasbouygues, Robin Wilson, Nadine Azémard, Philippe Maurine Temperature and voltage aware timing analysis: application to voltage drops. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Zhen-Yu Wang, Yong-Wei Li, Peng Guo, Xiao-Fang Yu Temperature-Variation Fault Diagnosis of the High-Voltage Electric Equipment Based on the BP Neural Network. Search on Bibsonomy ISNN (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Cheng-Hung Chen, Chin-Teng Lin, Cheng-Jian Lin A Functional-Link-Based Fuzzy Neural Network for Temperature Control. Search on Bibsonomy FOCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Sushu Zhang, Karam S. Chatha Approximation algorithm for the temperature-aware scheduling problem. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Alfredo Olmos, Andre Vilas Boas, Jefferson Soldera A Sub-1V Low Power Temperature Compensated Current Reference. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Zhaohui Cai, Jianzhong Hao, Shiro Takahashi, Jun Hong Ng, Yongdong Gong, Paulose Varghese Simultaneous Measurement of Temperature and Lateral Force Using an Arc-Shaped FBG Sensor Module. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Burak Kelleci, Aydin I. Karsilayan Low-Voltage Temperature-Independent Current Reference with no External Components. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18B. Robert Gregoire, Un-Ku Moon Process-Independent Resistor Temperature-Coefficients using Series/Parallel and Parallel/Series Composite Resistors. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Gregory Maclair, Baudouin Denis de Senneville, Mario Ries, Bruno Quesson, Pascal Desbarats, Jenny Benois-Pineau, Chrit T. W. Moonen PCA-Based Magnetic Field Modeling : Application for On-Line MR Temperature Monitoring. Search on Bibsonomy MICCAI (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Sherif A. Tawfik, Volkan Kursun Dual-V_DD Clock Distribution for Low Power and Minimum Temperature Fluctuations Induced Skew. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Asha Balijepalli, Joseph Ervin, Yu Cao 0001, Trevor Thornton Compact Modeling of a PD SOI MESFET for Wide Temperature Designs. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Masood Mehmood Khan, Michael Ingleby, Robert D. Ward Automated Facial Expression Classification and affect interpretation using infrared measurement of facial skin temperature variations. Search on Bibsonomy ACM Trans. Auton. Adapt. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Automated affect recognition, infrared thermal imaging, socially intelligent machines, facial expression classification
18Youngjae Kim 0001, Sudhanva Gurumurthi, Anand Sivasubramaniam Understanding the performance-temperature interactions in disk I/O of server workloads. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18B. Lasbouygues, Robin Wilson, Nadine Azémard, Philippe Maurine Timing analysis in presence of supply voltage and temperature variations. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Xiang-Jie Liu, Ji-Zhen Liu Neuro-fuzzy Generalized Predictive Control of Boiler Steam Temperature. Search on Bibsonomy ISNN (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Boguslaw Butrylo Distributed Optimization of Temperature Field for Reliable Construction of Electronic Circuits. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Zuoqin Qian, Honghai Liu 0001, Guangde Zhang, David J. Brown 0002 Temperature Field Estimation for the Pistons of Diesel Engine 4112. Search on Bibsonomy KES (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Nenad Stevanovic, Jesper Engvall, Christian Mueller, Jürgen Oehm A temperature compensated linear output RF amplifier with programmable gain control. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Marcial Jesús Rodríguez-Irago, Juan J. Rodríguez-Andina, Fabian Vargas 0001, Jorge Semião, Isabel C. Teixeira, João Paulo Teixeira 0001 Dynamic Fault Detection in Digital Systems Using Dynamic Voltage Scaling and Multi-Temperature Schemes. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18B. Lasbouygues, Robin Wilson, Nadine Azémard, Philippe Maurine Temperature Dependency in UDSM Process. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Sebastià A. Bota, M. Rosales, José Luis Rosselló, Jaume Segura 0001 Smart Temperature Sensor for Thermal Testing of Cell-Based ICs. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Assaf Avihoo, Danny Barash Temperature and Mutation Switches in the Secondary Structure of Small RNAs. Search on Bibsonomy CSB Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Michael J. Cyca, Swavik A. Spiewak, Ronald J. Hugo Non-Invasive Mapping of Fluid Temperature and Flow in Microsystems. Search on Bibsonomy ICMENS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Jun Mizuno, Sharon Farrens, Viorel Dragoi, Thomas Glinsner, Hiroyuki Ishida, Hidetoshi Shinohara, Takafumi Suzuki, Masanori Ishizuka, Shuichi Shoji Cyclo-Olefin Polymer Direct Bonding Using low Temperature Plasma Activation Bonding. Search on Bibsonomy ICMENS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18David Spady, Vadim Ivanov A CMOS bandgap voltage reference with absolute value and temperature drift trims. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Xiaojun Li 0001, Joerg D. Walter, Joseph B. Bernstein Simulating and Improving Microelectronic Device Reliability by Scaling Voltage and Temperature. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Marco Castellani 0001, Nuno C. Marques Automatic Detection of Meddies Through Texture Analysis of Sea Surface Temperature Maps. Search on Bibsonomy EPIA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Yanjie Wang, Yanbin Wang, Garry Tarr, Kris Iniewski A Temperature, Supply Voltage Compensated Floating-Gate MOS Dosimeter Using V_TH Extractor. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Gerald Esch Jr., Tom Chen 0001 Near-linear CMOS I/O driver with less sensitivity to process, voltage, and temperature variations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Yila Bai, Longfei Cong, Enmin Feng, Zhengyan Guo Numerical simulation and parameter identification of the temperature field in the oil-immersed self cooled three phrase transformer. Search on Bibsonomy ICARCV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Alfredo Sanchez-Lopez, Gustavo Arroyo-Figueroa, Alejandro Villavicencio Ramírez Intelligent Control Algorithm for Steam Temperature Regulation of Thermal Power Plants. Search on Bibsonomy MICAI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Qing Chen, Shaoyuan Li, Yugeng Xi 0001, Guang-Bin Huang Furnace Temperature Modeling for Continuous Annealing Process Based on Generalized Growing and Pruning RBF Neural Network. Search on Bibsonomy ISNN (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Andre Vilas Boas, Alfredo Olmos A temperature compensated digitally trimmable on-chip IC oscillator with low voltage inhibit capability. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Arzu Sardarli, Igor M. Filanovsky, R. M. Sardarli, O. A. Samedov, I. Sh. Sadigov, A. I. Aslanov Translation of the Phase Transition Temperature in TlInS2 Crystals with Cationic Impurity Doping. Search on Bibsonomy ICMENS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Francesco Carrara, Pietro Filoramo, Giuseppe Palmisano High-dynamic-range decibel-linear IF variable-gain amplifier with temperature compensation for WCDMA applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Sergio López-Buedo, Paula Riviere, Pablo Pernas, Eduardo I. Boemo Run-Time Reconfiguration to Check Temperature in Custom Computers: An Application of JBits Technology. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Sunay Shah, Steve Collins A temperature independent trimmable current source. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Benedict J. Leimkuhler An Improved Dynamical Formulation for Constant Temperature and Pressure Dynamics, with Application to Particle Fluid Models. Search on Bibsonomy International Conference on Computational Science (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Michiel A. P. Pertijs, Anton Bakker, Johan H. Huijsing A high-accuracy temperature sensor with second-order curvature correction and digital bus interface. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18José Luis Merino, Sebastià A. Bota, A. Herms, Josep Samitier, Enric Cabruja, Xavier Jordà, Miquel Vellvehí, J. Bausells, A. Ferré, J. Bigorra Smart Temperature Sensor for On-Line Monitoring in Automotive Applications. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Adrian Stoica, Didier Keymeulen, Ricardo Salem Zebulum Evolvable Hardware Solutions For Extreme Temperature Electronics. Search on Bibsonomy Evolvable Hardware The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Yi-Kan Cheng, Sung-Mo Kang A temperature-aware simulation environment for reliable ULSI chipdesign. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18M. M. de Zoysa, Robert J. Howlett, Simon D. Walters Effect of varying engine block temperature on spark voltage characterization for the measurement of air-fuel ratio in internal combustion engines. Search on Bibsonomy KES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Yi-Kan Cheng, Prasun Raha, Chin-Chi Teng, Elyse Rosenbaum, Sung-Mo Kang ILLIADS-T: an electrothermal timing simulator for temperature-sensitive reliability diagnosis of CMOS VLSI chips. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Chin-Chi Teng, Yi-Kan Cheng, Elyse Rosenbaum, Sung-Mo Kang iTEM: a temperature-dependent electromigration reliability diagnosis tool. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Alberto Leone, Antonio Gnudi, Giorgio Baccarani Hydrodynamic simulation of semiconductor devices operating at low temperature. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18S. Huang, K. J. M. Moriarty, E. Ann Myers, J. Potvin Information optimization for Monte Carlo data and application to high-temperature quantum chromodynamics. Search on Bibsonomy SC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18Thomas Philip, Stefan Jeglinski, Richard D. Benton, Robert L. Cook 0003 Design of a microprocessor based programmable system to process temperature information from a hot surface. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
17Alireza Vahdatpour, Miodrag Potkonjak Leakage minimization using self sensing and thermal management. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF delay, thermal management, leakage energy
17Andrea Bartolini, Matteo Cacciari, Andrea Tilli, Luca Benini, Matthias Gries A virtual platform environment for exploring power, thermal and reliability management control strategies in high-performance multicores. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF resource managment, multicore, control system
17Francesco Zanini, David Atienza, Giovanni De Micheli, Stephen P. Boyd Online convex optimization-based algorithm for thermal management of MPSoCs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF management, MPSoCs, convex optimization, online, thermal
17Jieyi Long, Seda Ogrenci Memik A framework for optimizing thermoelectric active cooling systems. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF thermal runaway, thermoelectric cooling, optimization
17Yusheng Feng, David Fuentes 0001, Andrea Hawkins, Jon Bass, Marissa Nichole Rylander, Andrew Elliott, Anil Shetty, R. Jason Stafford, J. Tinsley Oden Nanoshell-mediated laser surgery simulation for prostate cancer treatment. Search on Bibsonomy Eng. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Laser-induced thermal therapy, Nanoparticles, Laser-tissue interaction, Bioheat transfer, Finite element method, Prostate cancer
17Salomon Trujillo, Mark R. Cutkosky Thermally constrained motor operation for a climbing robot. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Wen-Wen Hsieh, TingTing Hwang Thermal-aware post compilation for VLIW architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Mesut Meterelliyoz, Kaushik Roy 0001 Design for burn-in test: a technique for burn-in thermal stability under die-to-die parameter variations. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Bin Lin 0002, Arindam Mallik, Peter A. Dinda, Gokhan Memik, Robert P. Dick User- and process-driven dynamic voltage and frequency scaling. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Hyung Nam Kim Haptic user interface design for students with visual impairments. Search on Bibsonomy ASSETS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF accessibility, haptic, visual impairments
17Lili Zhang, Erzsébet Merényi, William M. Grundy, Eliot F. Young An SOM-Hybrid Supervised Model for the Prediction of Underlying Physical Parameters from Near-Infrared Planetary Spectra. Search on Bibsonomy WSOM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parameter prediction, Near-Infrared spectra, New Horizons Space Mission, Pluto-Charon system, Self-Organizing Map
17Jin Cui, Douglas L. Maskell Dynamic thermal-aware scheduling on chip multiprocessor for soft real-time system. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic tas, post thermal map, cmp, soft real-time
17Ryan Cochran, Sherief Reda Spectral techniques for high-resolution thermal characterization with limited sensor data. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF spatial estimation, spectral methods, thermal management, thermal sensors
17Ramkumar Jayaseelan, Tulika Mitra Dynamic thermal management via architectural adaptation. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF architecture adaptation, dynamic thermal management
17Vinay Hanumaiah, Ravishankar Rao, Sarma B. K. Vrudhula, Karam S. Chatha Throughput optimal task allocation under thermal constraints for multi-core processors. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimal throughput, task allocation, thermal management, multi-core processors, thread migration
17Yathiraj B. Udupi, Munindar P. Singh Formal aspects of classifying and selecting business services. Search on Bibsonomy AAMAS (2) The full citation details ... 2009 DBLP  BibTeX  RDF matching, vectors, service selection
17Zhenyu (Peter) Gu, Changyun Zhu, Li Shang, Robert P. Dick Application-Specific MPSoC Reliability Optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Eric Karl, David T. Blaauw, Dennis Sylvester, Trevor N. Mudge Multi-Mechanism Reliability Modeling and Management in Dynamic Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Qinghui Tang, Sandeep K. S. Gupta, Georgios Varsamopoulos Energy-Efficient Thermal-Aware Task Scheduling for Homogeneous High-Performance Computing Data Centers: A Cyber-Physical Approach. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Marylène Cueille, Alice Collin, Claudine Pivain, Philippe Leveque Development of a numerical model connecting electromagnetism, thermal and hydrodynamics to analyse in vitro exposure system. Search on Bibsonomy Ann. des Télécommunications The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multi-physic, Dosimetry, Specific absorption rate, Thermal conduction, Thermal convection
17Jorge Semião, Juan J. Rodríguez-Andina, Fabian Vargas 0001, Marcelino B. Santos, Isabel C. Teixeira, João Paulo Teixeira 0001 Process Tolerant Design Using Thermal and Power-Supply Tolerance in Pipeline Based Circuits. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Muge Pirtini Çetingül, Cila Herman Identification of skin lesions from the transient thermal response using infrared imaging technique. Search on Bibsonomy ISBI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Yu Zhou, Somnath Paul, Swarup Bhunia Harvesting Wasted Heat in a Microprocessor Using Thermoelectric Generators: Modeling, Analysis and Measurement. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Giovanni Danese, Mauro Giachero, Francesco Leporati, Nelson Nazzicari, M. Nobis An Embedded Acquisition System for Remote Monitoring of Tire Status in F1 Race Cars through Thermal Images. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Shankar Bhamidi, Guy Bresler, Allan Sly Mixing Time of Exponential Random Graphs. Search on Bibsonomy FOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Love Singhal, Sejong Oh, Eli Bozorgzadeh Statistical power profile correlation for realistic thermal estimation. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Muhammad Adib Haron, Sharifah Kamilah Syed Yusof, Norsheila Fisal, Sharifah Hafizah Syed Ariffin, Adel Abdallah Performance Study of the Coexistence of Wireless Sensor Networks (WSN) and Wireless Local Area Networks (WLAN). Search on Bibsonomy Asia International Conference on Modelling and Simulation The full citation details ... 2008 DBLP  DOI  BibTeX  RDF WSN, WLAN, cognitive radio, Coexistence
17Ravishankar Rao, Sarma B. K. Vrudhula Efficient online computation of core speeds to maximize the throughput of thermally constrained multi-core processors. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Jian Deng, Xueming Shao, Xin Fu Numerical Study on Viscous Heating of Oil Flow in a Spool Valve. Search on Bibsonomy ICIRA (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Spool valve, Viscous heating, heat shock, CFD
17Weihua Zhao, Min Zhang, Yan Li, Chong Tang 0002 Research and Exploit on PCR Apparatus. Search on Bibsonomy BMEI (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF M30627FJPGP, fuzzy self-adjustment PID, PCR
17Yongfeng Liu, Youtong Zhang, Hongsen Tian, Jianjun Qin Three-Dimensional Simulation and Experiment in a High-Speed Direct-Injection Diesel Engine Based on KIVA-3V Code. Search on Bibsonomy CSSE (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Je-Hyoung Park, Ali Shakouri, Sung-Mo Kang Fast Evaluation Method for Transient Hot Spots in VLSI ICs in Packages. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Min Han, Xinzhe Wang, Yijie Wang Applying ICA on neural network to simplify BOF endpiont predicting model. Search on Bibsonomy IJCNN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Gonzalo Alvarez, Michael S. Summers, Don E. Maxwell, Markus Eisenbach 0002, Jeremy S. Meredith, Jeffrey M. Larkin, John M. Levesque, Thomas A. Maier, Paul R. C. Kent, Eduardo F. D'Azevedo, Thomas C. Schulthess New algorithm to enable 400+ TFlop/s sustained performance in simulations of disorder effects in high-Tc superconductors. Search on Bibsonomy SC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Hwisung Jung, Peng Rong, Massoud Pedram Stochastic modeling of a thermally-managed multi-core system. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF uncertainty, stochastic processes, dynamic thermal management
17Mehdi Kalantari, Richard J. La A DTN packet forwarding scheme inspired by thermodynamics. Search on Bibsonomy CISS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 16443 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license