|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1511 occurrences of 1047 keywords
|
|
|
Results
Found 1585 publication records. Showing 1585 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
14 | Rainer Faller |
Project Experience with IEC 61508 and Its Consequences. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAFECOMP ![In: Computer Safety, Reliability and Security, 20th International Conference, SAFECOMP 2001, Budapest, Hungary, September 26-28, 2001, Proceedings, pp. 200-214, 2001, Springer, 3-540-42607-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
14 | Xiaojun Shen, Saeid Nourian, Isabelle Hertanto, Nicolas D. Georganas |
vCOM: virtual commerce in a collaborative 3D world. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Multimedia ![In: Proceedings of the 9th ACM International Conference on Multimedia 2001, Ottawa, Ontario, Canada, September 30 - October 5, 2001, pp. 605-606, 2001, ACM, 1-58113-394-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
14 | Eric K. Clemons, Rajiv M. Dewan, Robert J. Kauffman, Yu-Ming Wang |
Economics and E-Commerce - Minitrack Introduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 34th Annual Hawaii International Conference on System Sciences (HICSS-34), January 3-6, 2001, Maui, Hawaii, USA, 2001, IEEE Computer Society, 0-7695-0981-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
14 | John L. Henning |
SPEC CPU2000: Measuring CPU Performance in the New Millennium. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer ![In: Computer 33(7), pp. 28-35, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
14 | Harumi A. Kuno |
Surveying the E-Services Technical Landscape. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WECWIS ![In: Second International Workshop on Advance Issues of E-Commerce and Web-Based Information Systems (WECWIS 2000), Milpitas, California, USA, June 8-9, 2000, pp. 94-101, 2000, IEEE Computer Society, 0-7695-0610-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
14 | Jennifer Smith, Tom Quan, Andrew B. Kahng |
EDA meets.COM (panel session): how E-services will change the EDA business model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000., pp. 253, 2000, ACM. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
14 | Raymond A. Paul, Ann T. Tai |
Building High-Assurance Systems Using COTS Components: Whether, Why, When and How? ![Search on Bibsonomy](Pics/bibsonomy.png) |
HASE ![In: 4th IEEE International Symposium on High-Assurance Systems Engineering (HASE '99), 17-19 November 1999, Washington, D.C, USA, Proceedings, pp. 115, 1999, IEEE Computer Society, 0-7695-0418-3. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
14 | Ronald Stence |
A New Development Tool with the IEEE-ISTO. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings of the IEEE International Conference On Computer Design, VLSI in Computers and Processors, ICCD '99, Austin, Texas, USA, October 10-13, 1999, pp. 499-502, 1999, IEEE Computer Society, 0-7695-0406-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
14 | Hartmut Vogler, Axel Spriestersbach, Marie-Luise Moschgath |
Protecting Competitive Negotiation of Mobile Agents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FTDCS ![In: 7th IEEE Workshop on Future Trends of Distributed Computer Systems (FTDCS '99), 20-22 December 1999, Cape Town, South Africa, Proceedings, pp. 145-150, 1999, IEEE Computer Society, 0-7695-0468-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
14 | Rolf Rabenseifner |
MPI-GLUE: Interoperable High-Performance MPI Combining Different Vendor's MPI Worlds. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par '98 Parallel Processing, 4th International Euro-Par Conference, Southampton, UK, September 1-4, 1998, Proceedings, pp. 563-569, 1998, Springer, 3-540-64952-2. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
14 | Carolyn L. Cukierman |
The Master Clinical Lexicon: Integrated Product Team Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (1) ![In: Thirty-First Annual Hawaii International Conference on System Sciences, Kohala Coast, Hawaii, USA, January 6-9, 1998, pp. 596-603, 1998, IEEE Computer Society, 0-8186-8255-8. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
14 | Michael J. Carey 0001, Nelson Mendonça Mattos, Anil K. Nori |
Object-Relational Database Systems: Principles, Products, and Challenges (Tutorial). ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: SIGMOD 1997, Proceedings ACM SIGMOD International Conference on Management of Data, May 13-15, 1997, Tucson, Arizona, USA., pp. 502, 1997, ACM Press, 978-0-89791-911-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
14 | Thin-Fong Tsuei, Allan Packer, Keng-Tai Ko |
Database Buffer Size Investigation for OLTP Workloads (Experience Paper). ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: SIGMOD 1997, Proceedings ACM SIGMOD International Conference on Management of Data, May 13-15, 1997, Tucson, Arizona, USA., pp. 112-122, 1997, ACM Press, 978-0-89791-911-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
14 | Gad J. Selig |
Systems and Network Integration and Outsourcing - Is it a Global Imperative for Multinational Corporations? ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (3) ![In: 30th Annual Hawaii International Conference on System Sciences (HICSS-30), 7-10 January 1997, Maui, Hawaii, USA, pp. 436-444, 1997, IEEE Computer Society, 0-8186-7734-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
14 | John V. Harrison, Anthony Berglas |
Data Flow Analysis within the ITOC Information System Design Recovery Tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASE ![In: 1997 International Conference on Automated Software Engineering, ASE 1997, Lake Tahoe, CA, USA, November 2-5, 1997, pp. 227-, 1997, IEEE Computer Society, 0-8186-7961-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
ITOC information system design recovery tool, contemporary fourth-generation languages, redevelopment, rewriting effort, application structure, static schema definition, design recovery tool, 4GL-based information, data flow analysis, data flow analysis |
14 | Bruce Blackshaw, John Ellwood |
Building Distributed Enterprise OLTP Applications: Current CORBA Limitations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EDOC ![In: 1st International Enterprise Distributed Object Computing Conference (EDOC '97), 24-26 October 1997, Gold Coast, Australia, Proceedings, pp. 190-196, 1997, IEEE Computer Society, 0-8186-8031-8. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
14 | Arnon Rosenthal, Leonard J. Seligman, Catherine D. McCollum, Barbara T. Blaustein, Bhavani Thuraisingham, Edward Lafferty |
Data Management Research at The MITRE Corporation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Rec. ![In: SIGMOD Rec. 24(3), pp. 77-82, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
14 | John V. Harrison, Paul A. Bailes, Anthony Berglas, Ian Peake |
Re-engineering 4GL-based Information System Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APSEC ![In: 2nd Asia-Pacific Software Engineering Conference (APSEC '95), December 6-9, 1995, Brisbane, Queensland, Australia, pp. 448-457, 1995, IEEE Computer Society, 0-8186-7171-8. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
CAiSE, information systems, design recovery, software re-engineering |
14 | Lein Harn, Hung-Yu Lin, Shoubao Yang |
A Software Authentication System for the Prevention of Computer Viruses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Conference on Computer Science ![In: Proceedings of the ACM 20th Annual Conference on Computer Science, CSC '92, Kansas City, MO, USA, March 3-5, 1992, pp. 447-450, 1992, ACM, 0-89791-472-4. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
14 | Tom Blank |
Behavioral Modeling for System Design (panel). ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 25th ACM/IEEE Conference on Design Automation, DAC '88, Anaheim, CA, USA, June 12-15, 1988., pp. 196, 1988, ACM. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP BibTeX RDF |
|
14 | Lester F. Ludwig |
A threaded/flow approach to reconfigurable distributed systems and service primitives architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Commun. Rev. ![In: Comput. Commun. Rev. 17(5), pp. 306-316, 1987. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
|
14 | J. E. Diem, Alison Hartman, Kirsty D. Nunez |
Establishing a faculty computing resource library. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGUCCS ![In: Proceedings of the 15th Annual ACM SIGUCCS Conference on User Services, Kansas City, Missouri, USA, 1987, pp. 297-302, 1987, ACM, 0-89791-241-1. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
|
14 | Rein Turn |
Private sector needs for trusted/secure computer systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AFIPS National Computer Conference ![In: American Federation of Information Processing Societies: 1982 National Computer Conference, 7-10 June, 1982, Houston, Texas, USA, pp. 449-460, 1982, AFIPS Press, 0-88283-035-X. The full citation details ...](Pics/full.jpeg) |
1982 |
DBLP DOI BibTeX RDF |
|
14 | E. J. McFaul |
Application of remote terminal emulation in the procurement process. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AFIPS National Computer Conference ![In: American Federation of Information Processing Societies: 1977 National Computer Conference, June 13-16, 1977, Dallas, Texas, USA, pp. 729-732, 1977, AFIPS Press, 978-1-4503-7914-4. The full citation details ...](Pics/full.jpeg) |
1977 |
DBLP DOI BibTeX RDF |
|
7 | Adonis P. H. Fung, K. W. Cheung 0003 |
SSLock: sustaining the trust on entities brought by SSL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AsiaCCS ![In: Proceedings of the 5th ACM Symposium on Information, Computer and Communications Security, ASIACCS 2010, Beijing, China, April 13-16, 2010, pp. 204-213, 2010, ACM, 978-1-60558-936-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
SSL trust management, domain naming convention, man-in-the-middle attacks |
7 | Michael J. Franklin |
Continuous analytics: data stream query processing in practice. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DEBS ![In: Proceedings of the Fourth ACM International Conference on Distributed Event-Based Systems, DEBS 2010, Cambridge, United Kingdom, July 12-15, 2010, pp. 1, 2010, ACM, 978-1-60558-927-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
7 | Kan Huang, Junlin Lu, Jiufeng Pang, Yansong Zheng, Hao Li, Dong Tong 0001, Xu Cheng 0001 |
FPGA prototyping of an amba-based windows-compatible SoC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 18th International Symposium on Field Programmable Gate Arrays, FPGA 2010, Monterey, California, USA, February 21-23, 2010, pp. 13-22, 2010, ACM, 978-1-60558-911-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
fpga, microsoft windows, amba, x86 |
7 | Amine Lajmi, Mikal Ziane, Tewfik Ziadi, Sylvie Cauvin |
A multi-view model-driven approach for packaging software components. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2010 ACM Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010, pp. 2297-2304, 2010, ACM, 978-1-60558-639-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
CAPE-OPEN, software evolution, model-driven engineering |
7 | Peter Sewell |
Memory, an elusive abstraction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMM ![In: Proceedings of the 9th International Symposium on Memory Management, ISMM 2010, Toronto, Ontario, Canada, June 5-6, 2010, pp. 51-52, 2010, ACM, 978-1-4503-0054-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
semantics, relaxed memory models |
7 | Xiaohui Yu 0001, Yang Liu 0008, Xiangji Huang 0001, Aijun An |
A quality-aware model for sales prediction using reviews. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WWW ![In: Proceedings of the 19th International Conference on World Wide Web, WWW 2010, Raleigh, North Carolina, USA, April 26-30, 2010, pp. 1217-1218, 2010, ACM, 978-1-60558-799-8. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
review quality mining, review mining |
7 | Daniel Bates, Adam Barth, Collin Jackson |
Regular expressions considered harmful in client-side XSS filters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WWW ![In: Proceedings of the 19th International Conference on World Wide Web, WWW 2010, Raleigh, North Carolina, USA, April 26-30, 2010, pp. 91-100, 2010, ACM, 978-1-60558-799-8. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
web, filter, browser, XSS, cross-site scripting |
7 | Dario Rossi 0001, Silvio Valenti |
Fine-grained traffic classification with netflow data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWCMC ![In: Proceedings of the 6th International Wireless Communications and Mobile Computing Conference, IWCMC 2010, Caen, France, June 28 - July 2, 2010, pp. 479-483, 2010, ACM, 978-1-4503-0062-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
SVM, traffic classification, netflow |
7 | Priya Mahadevan, Sujata Banerjee, Puneet Sharma |
Energy proportionality of an enterprise network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Green Networking ![In: Proceedings of the 1st ACM SIGCOMM Workshop on Green Networking 2010, New Delhi, India, August 30, 2010, pp. 53-60, 2010, ACM, 978-1-4503-0196-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
network power, enterprise networks |
7 | Donald Kossmann, Tim Kraska, Simon Loesing |
An evaluation of alternative architectures for transaction processing in the cloud. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the ACM SIGMOD International Conference on Management of Data, SIGMOD 2010, Indianapolis, Indiana, USA, June 6-10, 2010, pp. 579-590, 2010, ACM, 978-1-4503-0032-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
cloud db, cloud provider, performance evaluation, benchmark, cloud computing, transaction processing, cost |
7 | Yang Chen, Yuanjie Huang, Lieven Eeckhout, Grigori Fursin, Liang Peng, Olivier Temam, Chengyong Wu |
Evaluating iterative optimization across 1000 datasets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2010 ACM SIGPLAN Conference on Programming Language Design and Implementation, PLDI 2010, Toronto, Ontario, Canada, June 5-10, 2010, pp. 448-459, 2010, ACM, 978-1-4503-0019-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
benchmarking, compiler optimization, iterative optimization |
7 | Junxian Huang, Qiang Xu, Birjodh Tiwana, Zhuoqing Morley Mao, Ming Zhang 0005, Paramvir Bahl |
Anatomizing application performance differences on smartphones. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MobiSys ![In: Proceedings of the 8th International Conference on Mobile Systems, Applications, and Services (MobiSys 2010), San Francisco, California, USA, June 15-18, 2010, pp. 165-178, 2010, ACM, 978-1-60558-985-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
mobile web browsing, smartphone, performance comparison, 3G network, application performance |
7 | Taeho Kwon, Zhendong Su 0001 |
Automatic detection of unsafe component loadings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSTA ![In: Proceedings of the Nineteenth International Symposium on Software Testing and Analysis, ISSTA 2010, Trento, Italy, July 12-16, 2010, pp. 107-118, 2010, ACM, 978-1-60558-823-0. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
unsafe component loading, dynamic analysis |
7 | Jaehyun Park 0005, Donghwa Shin, Naehyuck Chang, Massoud Pedram |
Accurate modeling and calculation of delay and energy overheads of dynamic voltage scaling in modern high-performance microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010, pp. 419-424, 2010, ACM, 978-1-4503-0146-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
DVS overhead model, PLL, DVFS, DC-DC converter |
7 | Dominik Seichter, Deepak Dhungana, Andreas Pleuss, Benedikt Hauptmann |
Knowledge management in software ecosystems: software artefacts as first-class citizens. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECSA Companion Volume ![In: Software Architecture, 4th European Conference, ECSA 2010, Copenhagen, Denmark, August 23-26, 2010. Companion Volume, pp. 119-126, 2010, ACM, 978-1-4503-0179-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
software artefacts, social networks, knowledge management, ecosystems |
7 | Venceslas Biri, Adrien Herubel, Stephane Deverly |
Practical morphological antialiasing on the GPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH Talks ![In: International Conference on Computer Graphics and Interactive Techniques, SIGGRAPH 2010, Los Angeles, California, USA, July 26-30, 2010, Talks Proceedings, 2010, ACM, 978-1-4503-0394-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
real-time, antialiasing, gpu |
7 | Lanny S. Smoot, Katie Bassett, Stephen Hart, Daniel Burman, Anthony Romrell |
An interactive zoetrope for the animation of solid figurines and holographic projections. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH Emerging Technologies ![In: International Conference on Computer Graphics and Interactive Techniques, SIGGRAPH 2010, Los Angeles, California, USA, July 26-30, 2010, Emerging Technologies Proceedings, 2010, ACM, 978-1-4503-0392-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
7 | Yang Liu 0008, Xiaohui Yu 0001, Xiangji Huang 0001, Aijun An |
S-PLASA+: adaptive sentiment analysis with application to sales performance prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGIR ![In: Proceeding of the 33rd International ACM SIGIR Conference on Research and Development in Information Retrieval, SIGIR 2010, Geneva, Switzerland, July 19-23, 2010, pp. 873-874, 2010, ACM, 978-1-4503-0153-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
prediction, sentiment analysis, review mining |
7 | Meikel Poess, Raghunath Othayoth Nambiar |
A power consumption analysis of decision support systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WOSP/SIPEW ![In: Proceedings of the first joint WOSP/SIPEW International Conference on Performance Engineering, San Jose, California, USA, January 28-30, 2010, pp. 147-152, 2010, ACM, 978-1-60558-563-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
performance tuning and optimization, power and performance, use of benchmarks in industry and academia, energy efficiency, benchmarking, software performance testing |
7 | Aniruddha N. Udipi, Naveen Muralimanohar, Niladrish Chatterjee, Rajeev Balasubramonian, Al Davis, Norman P. Jouppi |
Rethinking DRAM design and organization for energy-constrained multi-cores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 37th International Symposium on Computer Architecture (ISCA 2010), June 19-23, 2010, Saint-Malo, France, pp. 175-186, 2010, ACM, 978-1-4503-0053-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
chipkill, dram architecture, subarrays, energy-efficiency, locality |
7 | Geoffrey Blake, Ronald G. Dreslinski, Trevor N. Mudge, Krisztián Flautner |
Evolution of thread-level parallelism in desktop applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 37th International Symposium on Computer Architecture (ISCA 2010), June 19-23, 2010, Saint-Malo, France, pp. 302-313, 2010, ACM, 978-1-4503-0053-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
benchmarking, multi-core, thread level parallelism, desktop applications |
7 | John Goodenough 0001, Rob Aitken |
Post-silicon is too late avoiding the $50 million paperweight starts with validated designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 47th Design Automation Conference, DAC 2010, Anaheim, California, USA, July 13-18, 2010, pp. 8-11, 2010, ACM, 978-1-4503-0002-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
low power design, emulation, post-silicon validation |
7 | Jaewoo Chung, Jose M. A. Tanchoco |
Material Handling Automation in Production and Warehouse Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Handbook of Automation ![In: Springer Handbook of Automation, pp. 961-979, 2009, Springer, 978-3-540-78830-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
7 | Yona Raekow, Christian Simmendinger, Ottmar Krämer-Fuhrmann |
License management in grid and high performance computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Sci. Res. Dev. ![In: Comput. Sci. Res. Dev. 23(3-4), pp. 275-281, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
License Management, FLEXNet, Grid Computing, High Performance Computing |
7 | Mo-Che Chan, Shun-Yun Hu, Jehn-Ruey Jiang |
Secure peer-to-peer 3D streaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multim. Tools Appl. ![In: Multim. Tools Appl. 45(1-3), pp. 369-384, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Nonlinear media, Security, Peer-to-peer, Virtual environments, Online games, 3D streaming |
7 | Collin Jackson, Adam Barth, Andrew Bortz, Weidong Shao, Dan Boneh |
Protecting browsers from DNS rebinding attacks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Web ![In: ACM Trans. Web 3(1), pp. 2:1-2:26, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
spam, firewall, DNS, Same-origin policy, click fraud |
7 | Neal Leavitt |
Is Cloud Computing Really Ready for Prime Time? ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer ![In: Computer 42(1), pp. 15-20, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
7 | Junzhou Luo, Jingya Zhou, Zhiang Wu 0001 |
An adaptive algorithm for QoS-aware service composition in grid environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Serv. Oriented Comput. Appl. ![In: Serv. Oriented Comput. Appl. 3(3), pp. 217-226, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Multi-constrained optimal path selection, QoS, Service composition, DAG |
7 | Roman L. Lysecky, Frank Vahid |
Design and implementation of a MicroBlaze-based warp processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 8(3), pp. 22:1-22:22, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
soft processor cores, FPGA, dynamic optimization, hardware/software partitioning, configurable logic, Warp processors, just-in-time (JIT) compilation |
7 | Yi-Neng Lin, Ying-Dar Lin, Kuo-Kun Tseng, Yuan-Cheng Lai |
Modeling and analysis of core-centric network processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 8(2), pp. 13:1-13:15, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
core-centric, simulation, modeling, embedded system, Network processor |
7 | Greg Goth |
Agile Tool Market Growing with the Philosophy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Softw. ![In: IEEE Softw. 26(2), pp. 88-91, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
7 | Richard J. Goeke, Robert H. Faley |
Technical opinion - Do SAP successes outperform themselves and their competitors? ![Search on Bibsonomy](Pics/bibsonomy.png) |
Commun. ACM ![In: Commun. ACM 52(10), pp. 113-117, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
7 | Hua Dai 0002, Prashant C. Palvia |
Mobile commerce adoption in China and the United States: a cross-cultural study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Data Base ![In: Data Base 40(4), pp. 43-61, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
user behavior, mobile commerce, technology adoption, behavioral intention, cross-culture study |
7 | Jianjiang Feng, Soweon Yoon, Anil K. Jain 0001 |
Latent Fingerprint Matching: Fusion of Rolled and Plain Fingerprints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICB ![In: Advances in Biometrics, Third International Conference, ICB 2009, Alghero, Italy, June 2-5, 2009. Proceedings, pp. 695-704, 2009, Springer, 978-3-642-01792-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Latent fingerprint, rolled fingerprint, plain fingerprint, minutiae matching, fusion |
7 | Shivali Agarwal, Ankur Narang, R. K. Shyamasundar |
Distributed Scheduling of Parallel Hybrid Computations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISAAC ![In: Algorithms and Computation, 20th International Symposium, ISAAC 2009, Honolulu, Hawaii, USA, December 16-18, 2009. Proceedings, pp. 1144-1154, 2009, Springer, 978-3-642-10630-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Multithreaded Computation, Scheduling, Algorithm, Work Stealing |
7 | Nitu |
Configurability in SaaS (software as a service) applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISEC ![In: Proceeding of the 2nd Annual India Software Engineering Conference, ISEC 2009, Pune, India, February 23-26, 2009, pp. 19-26, 2009, ACM, 978-1-60558-426-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
configurability, software as a service, net, saas |
7 | Kyu-Young Whang |
DB-IR integration and its application to a massively-parallel search engine. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIKM ![In: Proceedings of the 18th ACM Conference on Information and Knowledge Management, CIKM 2009, Hong Kong, China, November 2-6, 2009, pp. 1-2, 2009, ACM, 978-1-60558-512-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
DB-IR integration, search engines, DBMS, tight-coupling |
7 | Hock-Beng Lim, Mudasser Iqbal, Teng Jie Ng |
A virtualization framework for heterogeneous sensor network platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SenSys ![In: Proceedings of the 7th International Conference on Embedded Networked Sensor Systems, SenSys 2009, Berkeley, California, USA, November 4-6, 2009, pp. 319-320, 2009, ACM, 978-1-60558-519-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
sensor networks, middleware, virtualization |
7 | Changjie Guo |
Study of Web Delivered Services Support Platform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDE ![In: Proceedings of the 25th International Conference on Data Engineering, ICDE 2009, March 29 2009 - April 2 2009, Shanghai, China, pp. 1757-1758, 2009, IEEE Computer Society, 978-0-7695-3545-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
7 | Fan Zhao, Xiaowen Fang |
Factors Affecting Online Game Players' Loyalty. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (14) ![In: Internationalization, Design and Global Development, Third International Conference, IDGD 2009, Held as Part of HCI International 2009, San Diego, CA, USA, July 19-24, 2009. Proceedings, pp. 197-206, 2009, Springer, 978-3-642-02766-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
online games, intention, enjoyment, loyalty |
7 | E. Michael Maximilien, Ajith Ranabahu, Roy Engehausen, Laura C. Anderson |
IBM altocumulus: a cross-cloud middleware and platform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA Companion ![In: Companion to the 24th Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2009, October 25-29, 2009, Orlando, Florida, USA, pp. 805-806, 2009, ACM, 978-1-60558-768-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
cloud management, cloud platform, web apis, web service, middleware, cloud computing, clouds |
7 | Michael Rosemann |
Process Modelling - What Really Matters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UNISCON ![In: Information Systems: Modeling, Development, and Integration, Third International United Information Systems Conference, UNISCON 2009, Sydney, Australia, April 21-24, 2009. Proceedings, pp. 3, 2009, Springer, 978-3-642-01111-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
7 | Eduard Ayguadé, Rosa M. Badia, Daniel Cabrera, Alejandro Duran, Marc González 0001, Francisco D. Igual, Daniel Jiménez-González, Jesús Labarta, Xavier Martorell, Rafael Mayo 0002, Josep M. Pérez, Enrique S. Quintana-Ortí |
A Proposal to Extend the OpenMP Tasking Model for Heterogeneous Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWOMP ![In: Evolving OpenMP in an Age of Extreme Parallelism, 5th International Workshop on OpenMP, IWOMP 2009, Dresden, Germany, June 3-5, 2009, Proceedings, pp. 154-167, 2009, Springer, 978-3-642-02284-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
7 | Wei Yan, Erik Wu |
Toward Automatic Discovery of Malware Signature for Anti-Virus Cloud Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Complex (1) ![In: Complex Sciences, First International Conference, Complex 2009, Shanghai, China, February 23-25, 2009. Revised Papers, Part 1, pp. 724-728, 2009, Springer, 978-3-642-02465-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
network security, cloud computing, malware, anti-virus |
7 | Laura M. Grupp, Adrian M. Caulfield, Joel Coburn, Steven Swanson, Eitan Yaakobi, Paul H. Siegel, Jack K. Wolf |
Characterizing flash memory: anomalies, observations, and applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), December 12-16, 2009, New York, New York, USA, pp. 24-33, 2009, ACM, 978-1-60558-798-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
flash memory, characterization, non-volatile |
7 | Vladimir Cakarevic, Petar Radojkovic, Javier Verdú, Alex Pajuelo, Francisco J. Cazorla, Mario Nemirovsky, Mateo Valero |
Characterizing the resource-sharing levels in the UltraSPARC T2 processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), December 12-16, 2009, New York, New York, USA, pp. 481-492, 2009, ACM, 978-1-60558-798-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Sun Nigara T2, CMP, job scheduling, simultaneous multithreading, performance characterization, CMT |
7 | Zhenxin Sun, Weng-Fai Wong |
A UML-based approach for heterogeneous IP integration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 14th Asia South Pacific Design Automation Conference, ASP-DAC 2009, Yokohama, Japan, January 19-22, 2009, pp. 155-160, 2009, IEEE, 978-1-4244-2748-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
7 | Yasutaka Tsunakawa |
Needs and trends in embedded software development for consumer electronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 14th Asia South Pacific Design Automation Conference, ASP-DAC 2009, Yokohama, Japan, January 19-22, 2009, pp. 301-303, 2009, IEEE, 978-1-4244-2748-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
7 | Christy M. K. Cheung, Matthew K. O. Lee, Dimple R. Thadani |
The Impact of Positive Electronic Word-of-Mouth on Consumer Online Purchasing Decision. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSKS (1) ![In: Visioning and Engineering the Knowledge Society. A Web Science Perspective, Second World Summit on the Knowledge Society, WSKS 2009, Chania, Crete, Greece, September 16-18, 2009. Proceedings, pp. 501-510, 2009, Springer, 978-3-642-04753-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Electronic word-of-mouth, trust, electronic commerce, virtual community, online shopping, e-marketing, online consumer behavior |
7 | Malgorzata Mochól, Tobias Bürger, Markus Luczak-Rösch, Elena Simperl, Lyndon J. B. Nixon, Agata Filipowska, Christoph Tempich |
Enterprise X.0 and ECONOM Workshops Chairs' Message. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BIS (Workshops) ![In: Business Information Systems Workshops, BIS 2009 International Workshops, Poznan, Poland, April 27-29, 2009. Revised Papers, pp. 139-140, 2009, Springer, 978-3-642-03423-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
7 | Maximilian Walther, Daniel Schuster, Alexander Schill |
Federated Product Search with Information Enrichment Using Heterogeneous Sources. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BIS ![In: Business Information Systems, 12th International Conference, BIS 2009, Poznan, Poland, April 27-29, 2009. Proceedings, pp. 73-84, 2009, Springer, 978-3-642-01189-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Product Information Management, Ontology, Information Extraction, Federated Search |
7 | Marta Indulska, Peter F. Green, Jan Recker, Michael Rosemann |
Business Process Modeling: Perceived Benefits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ER ![In: Conceptual Modeling - ER 2009, 28th International Conference on Conceptual Modeling, Gramado, Brazil, November 9-12, 2009. Proceedings, pp. 458-471, 2009, Springer, 978-3-642-04839-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
modeling advantages, Delphi study, Business process modeling, benefits |
7 | Shikhar Bhushan, Ha Manh Tran, Jürgen Schönwälder |
NCClient: A Python Library for NETCONF Client Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPOM ![In: IP Operations and Management, 9th IEEE International Workshop, IPOM 2009, Venice, Italy, October 29-30, 2009. Proceedings, pp. 143-154, 2009, Springer, 978-3-642-04967-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
configuration management, Python, NETCONF |
7 | Stefan Prennschütz-Schützenau, Nirmal Mukhi, Satoshi Hada, Naoto Sato, Fumiko Satoh, Naohiko Uramoto |
Static vs. Dynamic Validation of BSP Conformance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWS ![In: IEEE International Conference on Web Services, ICWS 2009, Los Angeles, CA, USA, 6-10 July 2009, pp. 919-927, 2009, IEEE Computer Society, 978-0-7695-3709-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
7 | Chris Connelly, Brian Cox, Tim Forell, Rui Liu, Dejan S. Milojicic, Alan Nemeth, Peter Piet, Suhas Shivanna, Weihong Wang |
Reiki: Serviceability Architecture and Approach for Reduction and Management of Product Service Incidents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWS ![In: IEEE International Conference on Web Services, ICWS 2009, Los Angeles, CA, USA, 6-10 July 2009, pp. 775-782, 2009, IEEE Computer Society, 978-0-7695-3709-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
7 | Steffen Heinzl, Markus Mathes, Thilo Stadelmann, Dominik Seiler, Marcel Diegelmann, Helmut Dohmann, Bernd Freisleben |
The Web Service Browser: Automatic Client Generation and Efficient Data Transfer for Web Services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWS ![In: IEEE International Conference on Web Services, ICWS 2009, Los Angeles, CA, USA, 6-10 July 2009, pp. 743-750, 2009, IEEE Computer Society, 978-0-7695-3709-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
7 | Alexandros Marinos, Gerard Briscoe |
Community Cloud Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CloudCom ![In: Cloud Computing, First International Conference, CloudCom 2009, Beijing, China, December 1-4, 2009. Proceedings, pp. 472-484, 2009, Springer, 978-3-642-10664-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Community Cloud, Community Cloud Computing, Cloud Computing, Sustainability, Green Computing |
7 | Muhammad Bilal Anwer, Nick Feamster |
Building a fast, virtualized data plane with programmable hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VISA ![In: Proceedings of the 1st ACM SIGCOMM Workshop on Virtualized Infrastructure Systems and Architectures, VISA 2009, Barcelona, Spain, August 17, 2009, pp. 1-8, 2009, ACM, 978-1-60558-595-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
network virtualization, NetFPGA |
7 | Michael Zeller, Robert Grossman, Christoph Lingenfelder, Michael R. Berthold, Erik Marcadé, Rick Pechter, Mike Hoskins, Wayne Thompson, Rich Holada |
Open standards and cloud computing: KDD-2009 panel report. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KDD ![In: Proceedings of the 15th ACM SIGKDD International Conference on Knowledge Discovery and Data Mining, Paris, France, June 28 - July 1, 2009, pp. 11-18, 2009, ACM, 978-1-60558-495-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
pmml, predictive analytics, predictive model markup language, data mining, cloud computing, open standards |
7 | Bianca Schroeder, Eduardo Pinheiro, Wolf-Dietrich Weber |
DRAM errors in the wild: a large-scale field study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS/Performance ![In: Proceedings of the Eleventh International Joint Conference on Measurement and Modeling of Computer Systems, SIGMETRICS/Performance 2009, Seattle, WA, USA, June 15-19, 2009, pp. 193-204, 2009, ACM, 978-1-60558-511-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
dimm, dram reliability, ecc, hard error, empirical study, memory, soft error, dram, large-scale systems, data corruption |
7 | Ashok Anand, Chitra Muthukrishnan, Aditya Akella, Ramachandran Ramjee |
Redundancy in network traffic: findings and implications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS/Performance ![In: Proceedings of the Eleventh International Joint Conference on Measurement and Modeling of Computer Systems, SIGMETRICS/Performance 2009, Seattle, WA, USA, June 15-19, 2009, pp. 37-48, 2009, ACM, 978-1-60558-511-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
traffic redundancy, traffic engineering |
7 | Viviane Lucy Santos de Souza, Victor Wanderley Costa de Medeiros, Manoel Eusébio de Lima |
Architecture for dense matrix multiplication on a high-performance reconfigurable system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 22st Annual Symposium on Integrated Circuits and Systems Design: Chip on the Dunes, SBCCI 2009, Natal, Brazil, August 31 - September 3, 2009, 2009, ACM, 978-1-60558-705-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
BRAMs (RAM blocks), MAC (multiplier unit), RASC (reconfigurable application-specific computing), performance, FPGA (field programmable gate array), parallelism, matrix multiplication, data reuse |
7 | Valerij Matrose, Carsten Gremzow |
Improved placement for hierarchical FPGAs exploiting local interconnect resources. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 22st Annual Symposium on Integrated Circuits and Systems Design: Chip on the Dunes, SBCCI 2009, Natal, Brazil, August 31 - September 3, 2009, 2009, ACM, 978-1-60558-705-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
FPGA, interconnect, placement |
7 | Ken Layng |
The many benefits of cultivating a community among IT staff. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGUCCS ![In: Proceedings of the ACM SIGUCCS Fall Conference on User Services 2009, St. Louis, Missouri, USA, October 11-14, 2009, pp. 1-6, 2009, ACM, 978-1-60558-477-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
IT staff, Penn State, communication, training, development, support, cost-savings |
7 | Janet L. Kourik, Jiangping Wang |
Reduce pressure on students and it services via software-vendor programs and hosting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGUCCS ![In: Proceedings of the ACM SIGUCCS Fall Conference on User Services 2009, St. Louis, Missouri, USA, October 11-14, 2009, pp. 75-78, 2009, ACM, 978-1-60558-477-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
software support, software vendor hosting, software licensing, IT services |
7 | Craig D. Weissman, Steve Bobrowski |
The design of the force.com multitenant internet application development platform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the ACM SIGMOD International Conference on Management of Data, SIGMOD 2009, Providence, Rhode Island, USA, June 29 - July 2, 2009, pp. 889-896, 2009, ACM, 978-1-60558-551-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
flex schema, query optimization, domain specific language, multi-tenancy, object-relational mapping |
7 | Ravi Krishnamurthy |
A data warehouse appliance for the mass market. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the ACM SIGMOD International Conference on Management of Data, SIGMOD 2009, Providence, Rhode Island, USA, June 29 - July 2, 2009, pp. 879-880, 2009, ACM, 978-1-60558-551-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
data warehouse, appliance |
7 | Gustaf Juell-Skielse, Erik Perjons |
Improving E-Government through Benefit Analysis and Value Modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC (1) ![In: Proceedings of the 33rd Annual IEEE International Computer Software and Applications Conference, COMPSAC 2009, Seattle, Washington, USA, July 20-24, 2009. Volume 1, pp. 332-339, 2009, IEEE Computer Society, 978-0-7695-3726-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
7 | Ziyou Wang, Minghui Zhou 0001, Donggang Cao, Haiyan Zhao |
Dual-Container: Extending the EJB2.x Container to Support EJB3.0. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC (2) ![In: Proceedings of the 33rd Annual IEEE International Computer Software and Applications Conference, COMPSAC 2009, Seattle, Washington, USA, July 20-24, 2009. Volume 2, pp. 281-286, 2009, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
7 | Wil M. P. van der Aalst |
TomTom for Business Process Management (TomTom4BPM). ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAiSE ![In: Advanced Information Systems Engineering, 21st International Conference, CAiSE 2009, Amsterdam, The Netherlands, June 8-12, 2009. Proceedings, pp. 2-5, 2009, Springer, 978-3-642-02143-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
7 | Marta Indulska, Jan Recker, Michael Rosemann, Peter F. Green |
Business Process Modeling: Current Issues and Future Challenges. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAiSE ![In: Advanced Information Systems Engineering, 21st International Conference, CAiSE 2009, Amsterdam, The Netherlands, June 8-12, 2009. Proceedings, pp. 501-514, 2009, Springer, 978-3-642-02143-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Delphi study, business process modeling, challenges, issues |
7 | Andrea Di Biagio, Alessandro Barenghi, Giovanni Agosta, Gerardo Pelosi |
Design of a parallel AES for graphics hardware using the CUDA framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 23rd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2009, Rome, Italy, May 23-29, 2009, pp. 1-8, 2009, IEEE. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
7 | Aamir Shafi, Jawad Manzoor |
Towards efficient shared memory communications in MPJ express. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 23rd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2009, Rome, Italy, May 23-29, 2009, pp. 1-7, 2009, IEEE. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
7 | Chaitanya Yalamanchili, Kiron Vijayasankar, Erez Zadok, Gopalan Sivathanu |
DHIS: discriminating hierarchical storage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SYSTOR ![In: Proceedings of of SYSTOR 2009: The Israeli Experimental Systems Conference 2009, Haifa, Israel, May 4-6, 2009, pp. 9, 2009, ACM, 978-1-60558-623-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
intelligent disks, storage stack, file systems, storage systems |
7 | Dmitriy Komashinskiy, Igor V. Kotenko |
Integrated Usage of Data Mining Methods for Malware Detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IF&GIS ![In: Information Fusion and Geographic Information Systems, Proceedings of the Fourth International Workshop, IF&GIS 2009, 17-20 May 2009, St. Petersburg, Russia, pp. 343-357, 2009, Springer, 978-3-642-00303-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Behavior-based malware detection, Data mining, Malware |
7 | Asim Kadav, Matthew J. Renzelmann, Michael M. Swift |
Tolerating hardware device failures in software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOSP ![In: Proceedings of the 22nd ACM Symposium on Operating Systems Principles 2009, SOSP 2009, Big Sky, Montana, USA, October 11-14, 2009, pp. 59-72, 2009, ACM, 978-1-60558-752-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
reliability, debugging, code generation, recovery, device drivers |
Displaying result #501 - #600 of 1585 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ >>] |
|