|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 140 occurrences of 102 keywords
|
|
|
Results
Found 835 publication records. Showing 835 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
16 | Andreas Haas, Christoph M. Kirsch, Michael Lippautz, Hannes Payer |
How FIFO is your concurrent FIFO queue? ![Search on Bibsonomy](Pics/bibsonomy.png) |
RACES@SPLASH ![In: Proceedings of the 2012 ACM workshop on Relaxing synchronization for multicore and manycore scalability, RACES@SPLASH 2012, Tucson, Arizona, USA, October 21, 2012., pp. 1-8, 2012, ACM, 978-1-4503-1632-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Lakshminarayanan Renganarayana, Vijayalakshmi Srinivasan, Ravi Nair, Daniel A. Prener |
Programming with relaxed synchronization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RACES@SPLASH ![In: Proceedings of the 2012 ACM workshop on Relaxing synchronization for multicore and manycore scalability, RACES@SPLASH 2012, Tucson, Arizona, USA, October 21, 2012., pp. 41-50, 2012, ACM, 978-1-4503-1632-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Paul E. McKenney |
Beyond expert-only parallel programming? ![Search on Bibsonomy](Pics/bibsonomy.png) |
RACES@SPLASH ![In: Proceedings of the 2012 ACM workshop on Relaxing synchronization for multicore and manycore scalability, RACES@SPLASH 2012, Tucson, Arizona, USA, October 21, 2012., pp. 25-32, 2012, ACM, 978-1-4503-1632-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Junbo Wang 0001, Zixue Cheng, Isao Nishiyama, Yinghui Zhou |
Design of a Safety Confirmation System Integrating Wireless Sensor Network and Smart Phones for Disaster. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 139-143, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Akira Imakura, Tetsuya Sakurai, Kohsuke Sumiyoshi, Hideo Matsufuru |
An Auto-Tuning Technique of the Weighted Jacobi-Type Iteration Used for Preconditioners of Krylov Subspace Methods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 183-190, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Wenfeng Shen, Liang Wang, Jie Li, Weimin Xu, Daming Wei, Xin Zhu 0001 |
Load-Prediction Parallelization for Computer Simulation of Electrocardiogram Based on GPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 155-158, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Xiaoping Huang, Xiaoya Fan, Shengbing Zhang, Yuhui Chen |
DLWAP-buffer: A Novel HW/SW Architecture to Alleviate the Cache Coherence on Streaming-like Data in CMP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 23-28, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Zheng Zhou 0002, Junjun Gu, Gang Qu 0001 |
Scheduling for Multi-core Processor under Process and Temperature Variation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 113-120, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Akram Ben Ahmed, Abderazek Ben Abdallah |
LA-XYZ: Low Latency, High Throughput Look-Ahead Routing Algorithm for 3D Network-on-Chip (3D-NoC) Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 167-174, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Naohito Nakasato, Hiroshi Daisaka, Toshiyuki Fukushige, Atsushi Kawai, Junichiro Makino, Tadashi Ishikawa, Fukuko Yuasa |
GRAPE-MPs: Implementation of an SIMD for Quadruple/Hexuple/Octuple-Precision Arithmetic Operation on a Structured ASIC and an FPGA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 75-83, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Zhenhe Ma, Tao Xu, Linlin Du, Zhongdi Chu, Jiangtao Lv, Fengwen Wang |
Early Stage Chick Embryonic Heart Outflow Tract Flow Measurement Using High Speed 4D Optical Coherence Tomography. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 151-154, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Yu-Hsin Kuo, Po-An Tsai, Hao-Ping Ho, En-Jui Chang, Hsien-Kai Hsin, An-Yeu Andy Wu |
Path-Diversity-Aware Adaptive Routing in Network-on-Chip Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 175-182, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Yicheng Guan, Cisse Ahmadou Dit Adi, Takefumi Miyoshi, Michihiro Koibuchi, Hidetsugu Irie, Tsutomu Yoshinaga |
Throttling Control for Bufferless Routing in On-chip Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 37-44, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Salita Sombatsiri, Keishi Sakanushi, Yoshinori Takeuchi, Masaharu Imai |
On-chip Communication Buffer Architecture Optimization Considering Bus Width. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 29-36, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Kunio Takaya |
Transputer-like Multicore Digital Signal Processing on the Array of ARM Cortex-M0 Microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 45-50, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Hideharu Amano, Masayuki Kimura, Nobuaki Ozaki |
Removing Context Memory from a Multi-context Dynamically Reconfigurable Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 92-99, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Hiroshi Saito, Tomohiro Yoneda, Yuichi Nakamura 0002 |
An ILP-based Multiple Task Allocation Method for Fault Tolerance in Networks-on-Chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 100-106, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Li Wang, Jing Liu, Jingtong Hu, Qingfeng Zhuge, Edwin Hsing-Mean Sha |
Optimal Assignment for Tree-Structure Task Graph on Heterogeneous Multicore Systems Considering Time Constraint. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 121-127, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Satoshi Ito, Satoshi Ohshima, Takahiro Katagiri |
SSG-AT: An Auto-tuning Method of Sparse Matrix-vector Multiplicataion for Semi-structured Grids - An Adaptation to OpenFOAM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 191-197, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Yaohung M. Tsai, Weichung Wang, Ray-Bing Chen |
Tuning Block Size for QR Factorization on CPU-GPU Hybrid Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 205-211, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Xiang Li, Xuanya Li |
Accurate Coverage of Complex Targets in Three-Dimensional Mobile Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 144-150, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Muhammad Adeel Tajammul, Muhammad Ali Shami, Ahmed Hemani |
Segmented Bus Based Path Setup Scheme for a Distributed Memory Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 67-74, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Shizuka Ishikawa, Asuka Tanaka, Toshiaki Miyazaki |
Hardware Accelerator for BLAST. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 16-22, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Takuya Otsuka, Takashi Aoki, Eiichi Hosoya, Akira Onozawa |
An Image Recognition System for Multiple Video Inputs over a Multi-FPGA System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 1-7, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Junko Tazawa, Yuichi Okuyama, Yuichi Yaguchi, Toshiaki Miyazaki, Ryuichi Oka, Kenichi Kuroda |
Hardware Implementation of Accumulated Value Calculation for Two-Dimensional Continuous Dynamic Programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 8-15, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Sho Ninomiya, Keishi Sakanushi, Yoshinori Takeuchi, Masaharu Imai |
Task Allocation and Scheduling for Voltage-Frequency Islands Applied NoC-based MPSoC Considering Network Congestion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 107-112, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Takeo Nakamura, Hiroki Matsutani, Michihiro Koibuchi, Kimiyoshi Usami, Hideharu Amano |
Fine-Grained Power Control Using A Multi-Voltage Variable Pipeline Router. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 59-66, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Kazuya Matsumoto, Naohito Nakasato, Stanislav G. Sedukhin |
Implementing a Code Generator for Fast Matrix Multiplication in OpenCL on the GPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 198-204, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Hong Yao, Zheng Zhao, Huawei Huang, Lei Cong |
A Novel Data Transfer Scheme of Smart Grid and DTN. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 135-138, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Weihua Sheng, Artur Wiebe, Anastasia Stulova, Rainer Leupers, Bart Kienhuis, Johan Walters, Gerd Ascheid |
FIFO Exploration in Mapping Streaming Applications onto the TI OMAP3530 Platform: Case Study and Optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 51-58, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Nicola Caselli, Alessandro Strano, Daniele Ludovici, Davide Bertozzi |
Cooperative Built-in Self-Testing and Self-Diagnosis of NoC Bisynchronous Channels. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 159-166, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Xuanya Li, Linlin Ci, Minghua Yang, Hongyu Wei, Chengping Tian, Bin Cheng |
Multi-decision Making Based PSO Optimization in Airborne Mobile Sensor Network Deployment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 128-134, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | Yasin Oge, Takefumi Miyoshi, Hideyuki Kawashima, Tsutomu Yoshinaga |
Design and Implementation of a Merging Network Architecture for Handshake Join Operator on FPGA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012, pp. 84-91, 2012, IEEE Computer Society, 978-1-4673-2535-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
16 | |
Manycore. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Encyclopedia of Parallel Computing ![In: Encyclopedia of Parallel Computing, pp. 1089, 2011, Springer, 978-0-387-09765-7. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Rui Machado, Carsten Lojewski, Salvador Abreu, Franz-Josef Pfreundt |
Unbalanced tree search on a manycore system using the GPI programming model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Sci. Res. Dev. ![In: Comput. Sci. Res. Dev. 26(3-4), pp. 229-236, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Xiongfei Liao, Wu Jigang, Thambipillai Srikanthan |
A Modular Simulator Framework for Network-on-Chip Based Manycore Chips Using UNISIM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Trans. High Perform. Embed. Archit. Compil. ![In: Transactions on High-Performance Embedded Architectures and Compilers IV, pp. 234-253, 2011, Springer, 978-3-642-24567-1. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Kamesh Madduri, Eun-Jin Im, Khaled Z. Ibrahim, Samuel Williams 0001, Stéphane Ethier, Leonid Oliker |
Gyrokinetic particle-in-cell optimization on emerging multi- and manycore platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Parallel Comput. ![In: Parallel Comput. 37(9), pp. 501-520, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Lawrence Cayton |
Accelerating Nearest Neighbor Search on Manycore Systems ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1103.2635, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP BibTeX RDF |
|
16 | Seunghwa Kang, David Ediger, David A. Bader |
Algorithm Engineering Challenges in Multicore and Manycore Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
it Inf. Technol. ![In: it Inf. Technol. 53(6), pp. 266-273, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Michael J. Anderson, Bryan Catanzaro, Jike Chong, Ekaterina Gonina, Kurt Keutzer, Chao-Yue Lai, Mark Murphy, Bor-Yiing Su, Narayanan Sundaram |
PALLAS: Mapping Applications onto Manycore. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multiprocessor System-on-Chip ![In: Multiprocessor System-on-Chip - Hardware Design and Tool Integration., pp. 89-113, 2011, Springer, 978-1-4419-6459-5. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Andreas Herkersdorf, Andreas Lankes, Michael Meitinger, Rainer Ohlendorf, Stefan Wallentowitz, Thomas Wild, Johannes Zeppenfeld |
Hardware Support for Efficient Resource Utilization in Manycore Processor Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multiprocessor System-on-Chip ![In: Multiprocessor System-on-Chip - Hardware Design and Tool Integration., pp. 57-87, 2011, Springer, 978-1-4419-6459-5. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Carl Ramey |
TILE-Gx100 ManyCore processor: Acceleration interfaces and architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Hot Chips Symposium ![In: 2011 IEEE Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011, pp. 1-21, 2011, IEEE, 978-1-4673-8877-1. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Yonggon Kim, Hyunseok Lee, John Kim |
An Alternative Memory Access Scheduling in Manycore Accelerators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 2011 International Conference on Parallel Architectures and Compilation Techniques, PACT 2011, Galveston, TX, USA, October 10-14, 2011, pp. 195-196, 2011, IEEE Computer Society, 978-1-4577-1794-9. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Stefan Wallentowitz, Marcel Meyer, Thomas Wild, Andreas Herkersdorf |
Accelerating collective communication in message passing on manycore System-on-Chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSAMOS ![In: 2011 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, SAMOS XI, Samos, Greece, July 18-21, 2011, pp. 9-16, 2011, IEEE, 978-1-4577-0802-2. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Kerem Par, Oguz Tosun |
Parallelization of particle filter based localization and map matching algorithms on multicore/manycore architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Intelligent Vehicles Symposium ![In: IEEE Intelligent Vehicles Symposium (IV), 2011, Baden-Baden, Germany, June 5-9, 2011, pp. 820-826, 2011, IEEE, 978-1-4577-0890-9. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Stefan Marr, Mattias De Wael, Michael Haupt, Theo D'Hondt |
Which problems does a multi-language virtual machine need to solve in the multicore/manycore era? ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPLASH Workshops ![In: SPLASH'11 Workshops - Compilation Proceedings of the Co-Located Workshops: DSM'11, TMC'11, AGERE!'11, AOOPES'11, NEAT'11, and VMIL'11, Portland, OR, USA, October 22-27, 2011, pp. 341-348, 2011, ACM, 978-1-4503-1183-0. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | S. Tucker Taft, Joshua J. Bloch, Robert Bocchino, Sebastian Burckhardt, Hassan Chafi, Russ Cox, Benedict R. Gaster, Guy L. Steele Jr., David M. Ungar |
Multicore, manycore, and cloud computing: is a new programming language paradigm required? ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA Companion ![In: Companion to the 26th Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2011, part of SPLASH 2011, Portland, OR, USA, October 22 - 27, 2011, pp. 165-170, 2011, ACM, 978-1-4503-0942-4. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Przemyslaw Stpiczynski |
Solving Linear Recurrences on Hybrid GPU Accelerated Manycore Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FedCSIS ![In: Federated Conference on Computer Science and Information Systems, FedCSIS 2011, Szczecin, Poland, 18-21 September 2011, Proceedings, pp. 465-470, 2011, 978-83-60810-22-4. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP BibTeX RDF |
|
16 | Rainer Leupers, Lieven Eeckhout, Grant Martin, Frank Schirrmeister, Nigel P. Topham, Xiaotao Chen |
Virtual Manycore platforms: Moving towards 100+ processor cores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2011, Grenoble, France, March 14-18, 2011, pp. 715-720, 2011, IEEE, 978-1-61284-208-0. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Woomin Hwang, Ki-Woong Park, Kyu Ho Park |
MN-GEMS: A Timing-Aware Simulator for a Cloud Node with Manycore, DRAM, and Non-volatile Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE CLOUD ![In: IEEE International Conference on Cloud Computing, CLOUD 2011, Washington, DC, USA, 4-9 July, 2011, pp. 734-735, 2011, IEEE Computer Society, 978-1-4577-0836-7. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
NVRAM, hybrid main memory, simulator, scheduling, virtual machines, memory contention |
16 | Yonghong Yan 0001, Sanjay Chatterjee, Daniel A. Orozco, Elkin Garcia, Zoran Budimlic, Jun Shirako, Robert S. Pavel, Guang R. Gao, Vivek Sarkar |
Hardware and Software Tradeoffs for Task Synchronization on Manycore Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par (2) ![In: Euro-Par 2011 Parallel Processing - 17th International Conference, Euro-Par 2011, Bordeaux, France, August 29 - September 2, 2011, Proceedings, Part II, pp. 112-123, 2011, Springer, 978-3-642-23396-8. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | David R. C. Hill |
Distribution of Random Streams in Stochastic Models in the Age of Multi-Core and Manycore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PADS ![In: 25th ACM/IEEE/SCS Workshop on Principles of Advanced and Distributed Simulation, PADS 2011, Nice, France, June 14-17, 2011, pp. 1, 2011, IEEE Computer Society, 978-1-4577-1366-8. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Mamadou Diao, Chrysostomos Nicopoulos, Jongman Kim |
Large-Scale Semantic Concept Detection on Manycore Platforms for Multimedia Mining. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 25th IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2011, Anchorage, Alaska, USA, 16-20 May, 2011 - Conference Proceedings, pp. 384-394, 2011, IEEE, 978-1-61284-372-8. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Junghee Lee, Chrysostomos Nicopoulos, Yongjae Lee, Hyung Gyu Lee, Jongman Kim |
Hardware-Based Job Queue Management for Manycore Architectures and OpenMP Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 25th IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2011, Anchorage, Alaska, USA, 16-20 May, 2011 - Conference Proceedings, pp. 407-418, 2011, IEEE, 978-1-61284-372-8. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Yinglong Xia, Viktor K. Prasanna |
Self-Adaptive Evidence Propagation on Manycore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS Workshops ![In: 25th IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2011, Anchorage, Alaska, USA, 16-20 May 2011 - Workshop Proceedings, pp. 1407-1416, 2011, IEEE, 978-1-61284-425-1. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Joel C. Adams, Kathy Hoobeboom, Jonathan Walz |
A cluster for CS education in the manycore era. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGCSE ![In: Proceedings of the 42nd ACM technical symposium on Computer science education, SIGCSE 2011, Dallas, TX, USA, March 9-12, 2011, pp. 27-32, 2011, ACM, 978-1-4503-0500-6. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Ananda Basu, Saddek Bensalem, Marius Bozga, Paraskevas Bourgos, Mayur Maheshwari, Joseph Sifakis |
Component Assemblies in the Context of Manycore. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FMCO ![In: Formal Methods for Components and Objects, 10th International Symposium, FMCO 2011, Turin, Italy, October 3-5, 2011, Revised Selected Papers, pp. 314-333, 2011, Springer, 978-3-642-35886-9. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Christian Fabre, Iuliana Bacivarov, Ananda Basu, Martino Ruggiero, David Atienza, Eric Flamand, Jean-Pierre Krimm, Julien Mottin, Lars Schor, Pratyush Kumar, Hoeseok Yang, Devesh B. Chokshi, Lothar Thiele, Saddek Bensalem, Marius Bozga, Luca Benini, Mohamed M. Sabry, Yusuf Leblebici, Giovanni De Micheli, Diego Melpignano |
PRO3D, Programming for Future 3D Manycore Architectures: Project's Interim Status. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FMCO ![In: Formal Methods for Components and Objects, 10th International Symposium, FMCO 2011, Turin, Italy, October 3-5, 2011, Revised Selected Papers, pp. 277-293, 2011, Springer, 978-3-642-35886-9. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Karl-Filip Faxén, John Ardelius |
Manycore work stealing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 8th Conference on Computing Frontiers, 2011, Ischia, Italy, May 3-5, 2011, pp. 10, 2011, ACM, 978-1-4503-0698-0. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Brandon Beresini, Scott Ricketts, Michael Bedford Taylor |
Unifying manycore and FPGA processing with the RUSH architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AHS ![In: 2011 NASA/ESA Conference on Adaptive Hardware and Systems, AHS 2011, San Diego, California, USA, June 6-9, 2011, pp. 22-28, 2011, IEEE, 978-1-4577-0598-4. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Jie Meng, Chao Chen 0003, Ayse Kivilcim Coskun, Ajay Joshi |
Run-time energy management of manycore systems through reconfigurable interconnects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 21st ACM Great Lakes Symposium on VLSI 2010, Lausanne, Switzerland, May 2-6, 2011, pp. 43-48, 2011, ACM, 978-1-4503-0667-6. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Kamesh Madduri, Khaled Z. Ibrahim, Samuel Williams 0001, Eun-Jin Im, Stéphane Ethier, John Shalf, Leonid Oliker |
Gyrokinetic toroidal simulations on leading multi- and manycore HPC systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Conference on High Performance Computing Networking, Storage and Analysis, SC 2011, Seattle, WA, USA, November 12-18, 2011, pp. 23:1-23:12, 2011, ACM, 978-1-4503-0771-0. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Sivasankaran Rajamanickam, Erik G. Boman, Michael A. Heroux |
Poster: a hybrid-hybrid solver for manycore platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC Companion ![In: Conference on High Performance Computing Networking, Storage and Analysis - Companion Volume, SC 2011, Seattle, WA, USA, November 12-18, 2011, pp. 35-36, 2011, ACM, 978-1-4503-1030-7. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Chao Chen 0003, Jie Meng, Ayse K. Coskun, Ajay Joshi |
Express Virtual Channels with Taps (EVC-T): A Flow Control Technique for Network-on-Chip (NoC) in Manycore Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Hot Interconnects ![In: IEEE 19th Annual Symposium on High Performance Interconnects, HOTI 2011, Santa Clara, CA, USA, August 24-26, 2011, pp. 1-10, 2011, IEEE Computer Society, 978-1-4577-1563-1. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Charly Bechara, Nicolas Ventroux, Daniel Etiemble |
AHDAM: An Asymmetric Homogeneous with Dynamic Allocator Manycore Chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Facing the Multicore-Challenge ![In: Facing the Multicore - Challenge II - Aspects of New Paradigms and Technologies in Parallel Computing [Proceedings of a conference held at the Karlsruhe Institute of Technology (KIT), September 28-30, 2011]., pp. 48-59, 2011, Springer, 978-3-642-30396-8. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Charly Bechara |
Study and design of a manycore architecture with multithreaded processors for dynamic embedded applications. (Etude et mise en œuvre d'une architecture multiprocesseur constituée de ressources de calculs multitâches pour les systèmes embarqués). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2011 |
RDF |
|
16 | Xiongfei Liao |
Network-on-chip based manycore systems ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2011 |
DOI RDF |
|
16 | Ami Marowka |
Pitfalls and Issues of Manycore Programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Adv. Comput. ![In: Adv. Comput. 79, pp. 71-117, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Theocharis Theocharides, Maria K. Michael, Marios M. Polycarpou, Ajit Dingankar |
Hardware-Enabled Dynamic Resource Allocation for Manycore Systems Using Bidding-Based System Feedback. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EURASIP J. Embed. Syst. ![In: EURASIP J. Embed. Syst. 2010, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Joaquín Franco, Gregorio Bernabé, Juan Fernández 0001, Manuel Ujaldon |
Parallel 3D fast wavelet transform on manycore GPUs and multicore CPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCS ![In: Conceptual Structures: From Information to Intelligence, 18th International Conference on Conceptual Structures, ICCS 2010, Kuching, Sarawak, Malaysia, July 26-30, 2010. Proceedings, pp. 1101-1110, 2010, Springer, 978-3-642-14196-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Dirk Ribbrock, Markus Geveler, Dominik Göddeke, Stefan Turek |
Performance and accuracy of Lattice-Boltzmann kernels on multi- and manycore architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCS ![In: Conceptual Structures: From Information to Intelligence, 18th International Conference on Conceptual Structures, ICCS 2010, Kuching, Sarawak, Malaysia, July 26-30, 2010. Proceedings, pp. 239-247, 2010, Springer, 978-3-642-14196-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Shenggang Chen, Shuming Chen, Shuwei Sun |
P3-CABAC: A Nonstandard Tri-Thread Parallel Evolution of CABAC in the Manycore Era. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. Video Technol. ![In: IEEE Trans. Circuits Syst. Video Technol. 20(6), pp. 920-924, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Stanimire Tomov, Jack J. Dongarra, Marc Baboulin |
Towards dense linear algebra for hybrid GPU accelerated manycore systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Parallel Comput. ![In: Parallel Comput. 36(5-6), pp. 232-240, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Lin Huang 0002, Qiang Xu 0001 |
Economic Analysis of Testing Homogeneous Manycore Chips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(8), pp. 1257-1270, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Michael Boyer, David Tarjan, Kevin Skadron |
Federation: Boosting per-thread performance of throughput-oriented manycore architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 7(4), pp. 19:1-19:38, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Matthias Christen, Olaf Schenk, Esra Neufeld, Maarten M. Paulides, Helmar Burkhart |
Manycore Stencil Computations in Hyperthermia Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Scientific Computing with Multicore and Accelerators ![In: Scientific Computing with Multicore and Accelerators., pp. 255-277, 2010, CRC Press / Taylor & Francis, 978-1-4398-2536-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Shubhabrata Sengupta, Mark J. Harris, Michael Garland, John D. Owens |
Efficient Parallel Scan Algorithms for Manycore GPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Scientific Computing with Multicore and Accelerators ![In: Scientific Computing with Multicore and Accelerators., pp. 413-442, 2010, CRC Press / Taylor & Francis, 978-1-4398-2536-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Hisham El-Shishiny, Erven Rohou (eds.) |
Proceedings of the Second International Forum on Next-Generation Multicore/Manycore Technologies, IFMT '10, Saint-Malo, France, June 19, 2010 ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFMT ![ACM, 978-1-4503-0008-7 The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Dorothea Kolossa, Jike Chong, Steffen Zeiler, Kurt Keutzer |
Efficient manycore CHMM speech recognition for audiovisual and multistream data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INTERSPEECH ![In: INTERSPEECH 2010, 11th Annual Conference of the International Speech Communication Association, Makuhari, Chiba, Japan, September 26-30, 2010, pp. 2698-2701, 2010, ISCA. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | David M. Ungar, Sam S. Adams |
Harnessing emergence for manycore programming: early experience integrating ensembles, adverbs, and object-based inheritance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPLASH/OOPSLA Companion ![In: Companion to the 25th Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2010, part of SPLASH 2010, October 17-21, 2010, Reno/Tahoe, Nevada, USA, pp. 19-26, 2010, ACM, 978-1-4503-0240-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Ali Bakhoda, John Kim, Tor M. Aamodt |
Throughput-Effective On-Chip Networks for Manycore Accelerators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 43rd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2010, 4-8 December 2010, Atlanta, Georgia, USA, pp. 421-432, 2010, IEEE Computer Society, 978-0-7695-4299-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Chen Chen, Joseph B. Manzano, Ge Gan, Guang R. Gao, Vivek Sarkar |
A Study of a Software Cache Implementation of the OpenMP Memory Model for Multicore and Manycore Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par (2) ![In: Euro-Par 2010 - Parallel Processing, 16th International Euro-Par Conference, Ischia, Italy, August 31 - September 3, 2010, Proceedings, Part II, pp. 341-352, 2010, Springer, 978-3-642-15290-0. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Beniamino Di Martino, Fabrizio Petrini, Siegfried Benkner, Kirk W. Cameron, Dieter Kranzlmüller, Jakub Kurzak, Davide Pasetto, Jesper Larsson Träff |
Multicore and Manycore Programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par (2) ![In: Euro-Par 2010 - Parallel Processing, 16th International Euro-Par Conference, Ischia, Italy, August 31 - September 3, 2010, Proceedings, Part II, pp. 137-138, 2010, Springer, 978-3-642-15290-0. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Lin Huang 0002, Qiang Xu 0001 |
Characterizing the lifetime reliability of manycore processors with core-level redundancy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2010 International Conference on Computer-Aided Design, ICCAD 2010, San Jose, CA, USA, November 7-11, 2010, pp. 680-685, 2010, IEEE, 978-1-4244-8192-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | François Pêcheux, Amer Habib |
Towards High-Level Executable Specifications of Heterogeneous Systems with SystemC-AMS: Application to a Manycore PCR-CE Lab on Chip for DNA Sequencing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FDL ![In: Proceedings of the 2010 Forum on specification & Design Languages, FDL 2010, September 14-16, 2010, Southampton, UK, pp. 210-215, 2010, ECSI, Electronic Chips & Systems design Initiative. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP BibTeX RDF |
|
16 | Etienne Faure, Mounir Benabdenbi, François Pêcheux |
Distributed online software monitoring of manycore architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 16th IEEE International On-Line Testing Symposium (IOLTS 2010), 5-7 July, 2010, Corfu, Greece, pp. 56-61, 2010, IEEE Computer Society, 978-1-4244-7724-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Alécio Pedro Delazari Binotto, Bernardo M. V. Pedras, Marcelo Götz, Arjan Kuijper, Carlos Eduardo Pereira, André Stork, Dieter W. Fellner |
Effective Dynamic Scheduling on Heterogeneous Multi/Manycore Desktop Platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBAC-PAD (Workshops) ![In: 22nd International Symposium on Computer Architecture and High Performance Computing Workshops, SBAC-PAD 2010 Workshops, Petropolis, Brazil, October 27-30, 2010, pp. 37-42, 2010, IEEE Computer Society, 978-1-4244-8877-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | David Andrews |
Reconfigurable computing in the heterogeneous manycore Era. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCS ![In: Proceedings of the 2010 International Conference on High Performance Computing & Simulation, HPCS 2010, June 28 - July 2, 2010, Caen, France, pp. 606-607, 2010, IEEE, 978-1-4244-6828-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Amer Habib, François Pêcheux |
Modeling and simulation of a manycore PCR-CE lab-on-chip for DNA sequencing using SystemC/SystemC-AMS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BMAS ![In: 2010 IEEE International Behavioral Modeling and Simulation Conference, BMAS 2010, San Jose, CA, USA, September 23-24, 2010, pp. 63-68, 2010, IEEE, 978-1-4244-8996-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Markus Geveler, Dirk Ribbrock, Dominik Göddeke, Stefan Turek |
Lattice-Boltzmann Simulation of the Shallow-Water Equations with Fluid-Structure Interaction on Multi- and Manycore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Facing the Multicore-Challenge ![In: Facing the Multicore-Challenge - Aspects of New Paradigms and Technologies in Parallel Computing [Proceedings of a conference held at the Heidelberger Akademie der Wissenschaften, March 17-19, 2010], pp. 92-104, 2010, Springer, 978-3-642-16232-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Yinglong Xia, Viktor K. Prasanna, James Li |
Hierarchical Scheduling of DAG Structured Computations on Manycore Processors with Dynamic Thread Grouping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
JSSPP ![In: Job Scheduling Strategies for Parallel Processing - 15th International Workshop, JSSPP 2010, Atlanta, GA, USA, April 23, 2010, Revised Selected Papers, pp. 154-174, 2010, Springer, 978-3-642-16504-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Jike Chong |
Pattern-Oriented Application Frameworks for Domain Experts to Effectively Utilize Highly Parallel Manycore Microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2010 |
RDF |
|
16 | Ahmed El-Mahdy 0002, Hisham El-Shishiny |
Efficient parallel selective separable-kernel convolution on heterogeneous processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFMT ![In: Proceedings of the Second International Forum on Next-Generation Multicore/Manycore Technologies, IFMT '10, Saint-Malo, France, June 19, 2010, pp. 7:1-7:6, 2010, ACM, 978-1-4503-0008-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Augusto Vega, Alejandro Rico, Felipe Cabarcas, Alex Ramírez, Mateo Valero |
Comparing last-level cache designs for CMP architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFMT ![In: Proceedings of the Second International Forum on Next-Generation Multicore/Manycore Technologies, IFMT '10, Saint-Malo, France, June 19, 2010, pp. 2:1-2:11, 2010, ACM, 978-1-4503-0008-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Junli Gu, Rakesh Kumar 0002, Steven S. Lumetta, Yihe Sun |
Accelerating data movement on future chip multi-processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFMT ![In: Proceedings of the Second International Forum on Next-Generation Multicore/Manycore Technologies, IFMT '10, Saint-Malo, France, June 19, 2010, pp. 3:1-3:12, 2010, ACM, 978-1-4503-0008-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Nicolas Ventroux, Raphaël David |
SCMP architecture: an asymmetric multiprocessor system-on-chip for dynamic applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFMT ![In: Proceedings of the Second International Forum on Next-Generation Multicore/Manycore Technologies, IFMT '10, Saint-Malo, France, June 19, 2010, pp. 6:1-6:12, 2010, ACM, 978-1-4503-0008-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Kamil Kedzierski, Francisco J. Cazorla, Roberto Gioiosa, Alper Buyuktosunoglu, Mateo Valero |
Power and performance aware reconfigurable cache for CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFMT ![In: Proceedings of the Second International Forum on Next-Generation Multicore/Manycore Technologies, IFMT '10, Saint-Malo, France, June 19, 2010, pp. 1:1-1:12, 2010, ACM, 978-1-4503-0008-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Abdullah Kayi, Tarek A. El-Ghazawi |
An adaptive cache coherence protocol for chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFMT ![In: Proceedings of the Second International Forum on Next-Generation Multicore/Manycore Technologies, IFMT '10, Saint-Malo, France, June 19, 2010, pp. 4:1-4:10, 2010, ACM, 978-1-4503-0008-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | Anurag Negi, M. M. Waliullah, Per Stenström |
LV*: a class of lazy versioning HTMs for low-cost integration of transactional memory systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFMT ![In: Proceedings of the Second International Forum on Next-Generation Multicore/Manycore Technologies, IFMT '10, Saint-Malo, France, June 19, 2010, pp. 5:1-5:10, 2010, ACM, 978-1-4503-0008-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
16 | István Lorentz, Mihaela Malita, Razvan Andonie |
Fitting FFT onto an energy efficient massively parallel architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFMT ![In: Proceedings of the Second International Forum on Next-Generation Multicore/Manycore Technologies, IFMT '10, Saint-Malo, France, June 19, 2010, pp. 8:1-8:11, 2010, ACM, 978-1-4503-0008-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
Displaying result #701 - #800 of 835 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ >>] |
|