The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for CMP with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1994-2000 (24) 2001-2002 (22) 2003-2004 (31) 2005 (59) 2006 (80) 2007 (132) 2008 (124) 2009 (102) 2010 (70) 2011 (36) 2012 (16) 2013 (19) 2014 (17) 2015-2016 (24) 2017-2019 (15) 2020-2023 (19) 2024 (2)
Publication types (Num. hits)
article(156) incollection(2) inproceedings(627) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 857 occurrences of 424 keywords

Results
Found 792 publication records. Showing 792 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
9Mahmut T. Kandemir, Sai Prashanth Muralidhara, Sri Hari Krishna Narayanan, Yuanrui Zhang, Ozcan Ozturk 0001 Optimizing shared cache behavior of chip multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Efraim Rotem, Avi Mendelson, Ran Ginosar, Uri C. Weiser Multiple clock and voltage domains for chip multi processors. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF clock domains, voltage domain, power management, DVFS, chip multi processor
9Eiman Ebrahimi, Onur Mutlu, Chang Joo Lee, Yale N. Patt Coordinated control of multiple prefetchers in multi-core systems. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF prefetching, multi-core, feedback control, memory systems
9Moinuddin K. Qureshi Adaptive Spill-Receive for robust high-performance caching in CMPs. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Yunji Chen, Yi Lv, Weiwu Hu, Tianshi Chen 0002, Haihua Shen, Pengyu Wang, Hong Pan Fast complete memory consistency verification. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Yi Xu, Yu Du, Bo Zhao 0007, Xiuyi Zhou, Youtao Zhang, Jun Yang 0002 A low-radix and low-diameter 3D interconnection network design. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Andrew DeOrio, Ilya Wagner, Valeria Bertacco Dacota: Post-silicon validation of the memory subsystem in multi-core designs. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Chris R. Jesshope, Mike Lankamp, Li Zhang 0034 Evaluating CMPs and Their Memory Architecture. Search on Bibsonomy ARCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Magnus Jahre, Marius Grannæs, Lasse Natvig A Quantitative Study of Memory System Interference in Chip Multiprocessor Architectures. Search on Bibsonomy HPCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Fabrizio Boldini, Maria Rita Bracchini, Philippos Pouyioutas, Emilios Solomou, Christina Ioannou The EUCLIDES Enhancing the Use of Cooperative Learning to Increase DEvelopment of Science Studies Project - An On-Line Learning Portal Utilizing Problem-Based Learning. Search on Bibsonomy ICWL The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Web 2.0 tools, Collaborative Learning, Problem-Based Learning
9Liqiang He, Cha Narisu A Fast Scheme to Investigate Thermal-Aware Scheduling Policy for Multicore Processors. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Degui Feng, Guanjun Jiang, Tiefei Zhang, Wei Hu 0001, Tianzhou Chen, Mingteng Cao SPMTM: A Novel ScratchPad Memory Based Hybrid Nested Transactional Memory Framework. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF synchronization, Chip multiprocessor, transactional memory, scratchpad memory
9Ahmed Abousamra, Rami G. Melhem, Daniel Mossé Minimizing expected energy consumption for streaming applications with linear dependencies on chip multiprocessors. Search on Bibsonomy SIES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9JaeWoong Chung, Woongki Baek, Christos Kozyrakis Fast memory snapshot for concurrent programmingwithout synchronization. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF transactional memory, snapshot
9Magnus Jahre, Lasse Natvig A light-weight fairness mechanism for chip multiprocessor memory systems. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic miss handling architecture, miss status holding register, fairness, chip multiprocessor, interference, mechanism
9Zheng Chen, Yin-Liang Zhao, Xiao-Yu Pan, Zhao-Yu Dong, Bing Gao, Zhi-Wen Zhong An Overview of Prophet. Search on Bibsonomy ICA3PP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Thread partitioning, Pre-computation slice, Speculative Multithreading Architecture, Thread level parallelism, Speculative multithreading
9Basab Datta, Wayne P. Burleson On temperature planarization effect of copper dummy fills in deep nanometer technology. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Lei Shi, Jun Pang 0001, Lei Yang, Tiejun Zhang, Donghui Wang Fair-Priority-Expression-Based burst scheduling to enhance performance and fairness of shared dram systems. Search on Bibsonomy CCECE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Bernard Courtois, Kholdoun Torki, Sophie Dumont, Sylvaine Eyraud, Jean-François Paillotin, Gregory di Pendina Infrastructures for Education, Research and Industry in Microelectronics A Look Worldwide and a Look at India. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Sudeep Pasricha, Nikil D. Dutt, Fadi J. Kurdahi Exploring Carbon Nanotube Bundle Global Interconnects for Chip Multiprocessor Applications. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Yang Ding, Mahmut T. Kandemir, Mary Jane Irwin, Padma Raghavan Adapting Application Mapping to Systematic Within-Die Process Variations on Chip Multiprocessors. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Major Bhadauria, Vincent M. Weaver, Sally A. McKee Accomodating Diversity in CMPs with Heterogeneous Frequencies. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Abhishek Bhattacharjee, Margaret Martonosi Thread criticality predictors for dynamic performance, power, and resource management in chip multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF intel tbb, thread criticality prediction, parallel processing, caches, dvfs
9Lide Zhang, Lan S. Bai, Robert P. Dick, Li Shang, Russ Joseph Process variation characterization of chip-level multiprocessors. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF software, process variation, characterization
9Simone Campanoni, Giovanni Agosta, Stefano Crespi-Reghizzi A parallel dynamic compiler for CIL bytecode. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 2008 DBLP  DOI  BibTeX  RDF virtual execution system, parallel virtual machine, dynamic compilation
9Milos Milovanovic, Roger Ferrer, Vladimir Gajinov, Osman S. Unsal, Adrián Cristal, Eduard Ayguadé, Mateo Valero Nebelung: Execution Environment for Transactional OpenMP. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Compiler, OpenMP, Software Transactional Memory, Runtime system
9Changyun Zhu, Zhenyu (Peter) Gu, Li Shang, Robert P. Dick, Russ Joseph Three-Dimensional Chip-Multiprocessor Run-Time Thermal Management. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Engin Ipek, Sally A. McKee, Karan Singh, Rich Caruana, Bronis R. de Supinski, Martin Schulz 0001 Efficient architectural design space exploration via predictive modeling. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sensitivity studies, Artificial neural networks, performance prediction, design space exploration
9Jacob Leverich, Hideho Arakida, Alex Solomatnikov, Amin Firoozshahian, Mark Horowitz, Christos Kozyrakis Comparative evaluation of memory models for chip multiprocessors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF streaming memory, parallel programming, Chip multiprocessors, cache coherence, locality optimizations
9Gadi Lifshitz, Ayelet Kroskin, Yael Dubinsky The Story of Transition to Agile Software Development. Search on Bibsonomy XP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Wei Hu 0001, Tianzhou Chen, Qingsong Shi Exploring multicore computing education in China by model curriculum construction. Search on Bibsonomy Summit on Computing Education in China The full citation details ... 2008 DBLP  DOI  BibTeX  RDF model curriculum, programming, multicore
9Natalie D. Enright Jerger, Li-Shiuan Peh, Mikko H. Lipasti Circuit-Switched Coherence. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Interconnection network, multiprocessor systems, cache coherence
9Pablo Abad Fidalgo, Valentin Puente, José-Ángel Gregorio Reducing the Interconnection Network Cost of Chip Multiprocessors. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Chip Multiprocessors, Deadlock, Router Design
9Divya Gulati, Changkyu Kim, Simha Sethumadhavan, Stephen W. Keckler, Doug Burger Multitasking workload scheduling on flexible-core chip multiprocessors. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF flexible cores, multitask scheduling, multicore architectures
9Henry Wong, Anne Bracy, Ethan Schuchman, Tor M. Aamodt, Jamison D. Collins, Perry H. Wang, Gautham N. Chinya, Ankur Khandelwal Groen, Hong Jiang, Hong Wang 0003 Pangaea: a tightly-coupled IA32 heterogeneous chip multiprocessor. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ia32, on-chip integration, chip multiprocessor, heterogeneous
9Andrés Ortiz 0001, Julio Ortega Lopera, Antonio F. Díaz, Alberto Prieto Comparison of Onloading and Offloading Strategies to Improve Network Interfaces. Search on Bibsonomy PDP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9José L. Abellán, Juan Fernández 0001, Manuel E. Acacio CellStats: A Tool to Evaluate the Basic Synchronization and Communication Operations of the Cell BE. Search on Bibsonomy PDP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Ramazan Bitirgen, Engin Ipek, José F. Martínez Coordinated management of multiple interacting resources in chip multiprocessors: A machine learning approach. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Nidhi Aggarwal, James E. Smith 0001, Kewal K. Saluja, Norman P. Jouppi, Parthasarathy Ranganathan Implementing high availability memory with a duplication cache. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Yuho Jin, Ki Hwan Yum, Eun Jung Kim 0001 Adaptive data compression for high-performance low-power on-chip networks. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Paul Gratz, Boris Grot, Stephen W. Keckler Regional congestion awareness for load balance in networks-on-chip. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Christian Fensch, Marcelo Cintra An OS-based alternative to full hardware coherence on tiled CMPs. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Ricardo Fernández Pascual, José M. García 0001, Manuel E. Acacio, José Duato Fault-Tolerant Cache Coherence Protocols for CMPs: Evaluation and Trade-Offs. Search on Bibsonomy HiPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Sridhar Varadan, Janet Meiling Wang, Jiang Hu Handling partial correlations in yield prediction. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Matthew A. Watkins, Mark J. Cianchetti, David H. Albonesi Shared reconfigurable architectures for CMPS. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Jiaxin Li, Ning Deng 0002, Caixia Liu, Mengxiao Liu, Zuo Wang, Qi Zuo FG-NC: A Schedule Algorithm of Designing Concurrent Multi-direction Data Switch Structure. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9M.-C. Frank Chang, Eran Socher, Sai-Wang Tam, Jason Cong, Glenn Reinman RF interconnects for communications on-chip. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF RF-interconnect, network-on-chip, chip multiprocessors
9Andrew B. Kahng How to get real mad. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design-aware manufacturing, integrated circuit physical design, manufacturing-aware design, performance analysis, design for manufacturability
9Qi Zhang, Yurong Chen 0001, Yimin Zhang 0002, Yinlong Xu SIFT implementation and optimization for multi-core systems. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Michel Dubois 0001, Hyunyoung Lee STAMP: A universal algorithmic model for next-generation multithreaded machines and systems. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Hongbo Zeng, Jun Wang, Ge Zhang 0007, Weiwu Hu An interconnect-aware power efficient cache coherence protocol for CMPs. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Farshad Khunjush, Nikitas J. Dimopoulos Extended characterization of DMA transfers on the Cell BE processor. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Taylan Yemliha, Shekhar Srikantaiah, Mahmut T. Kandemir, Mustafa Karaköy, Mary Jane Irwin Integrated code and data placement in two-dimensional mesh based chip multiprocessors. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Duo Li, Sheldon X.-D. Tan, Eduardo H. Pacheco, Murli Tirumala Parameterized transient thermal behavioral modeling for chip multiprocessors. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9José L. Abellán, Juan Fernández 0001, Manuel E. Acacio Characterizing the Basic Synchronization and Communication Operations in Dual Cell-Based Blades. Search on Bibsonomy ICCS (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Marius Grannæs, Magnus Jahre, Lasse Natvig Low-cost open-page prefetch scheduling in chip multiprocessors. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Jason Zebchuk, Srihari Makineni, Donald Newell Re-examining cache replacement policies. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Michele Petracca, Keren Bergman, Luca P. Carloni Photonic networks-on-chip: Opportunities and challenges. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Hao Feng, Eric Q. Li, Yurong Chen 0001, Yimin Zhang 0002 Parallelization and characterization of SIFT on multi-core systems. Search on Bibsonomy IISWC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Yintian Liu, Yingming Liu, Tao Zeng, Kaikuo Xu, Sunjun Liu Sub-frequent Patterns Mining Based on SFP-Tree. Search on Bibsonomy FSKD (5) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Wangyuan Zhang, Tao Li 0006 Managing multi-core soft-error reliability through utility-driven cross domain optimization. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Like Yan, Qingsong Shi, Tianzhou Chen, Guobing Chen An On-chip Communication Mechanism Design in the Embedded Heterogeneous Multi-core Architecture. Search on Bibsonomy ICNSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Yi-Neng Lin, Ying-Dar Lin, Yuan-Cheng Lai Thread Allocation in Chip Multiprocessor Based Multithreaded Network Processors. Search on Bibsonomy AINA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF thread allocation, simulation, modeling, Petri net, chip multiprocessor
9Zuzana Kukelova, Martin Bujnak, Tomás Pajdla Automatic Generator of Minimal Problem Solvers. Search on Bibsonomy ECCV (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Mahmut T. Kandemir, Feihui Li, Mary Jane Irwin, Seung Woo Son 0001 A novel migration-based NUCA design for chip multiprocessors. Search on Bibsonomy SC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Xiaoye Sherry Li Evaluation of Sparse LU Factorization and Triangular Solution on Multicore Platforms. Search on Bibsonomy VECPAR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Sean Rul, Hans Vandierendonck, Koen De Bosschere Extracting coarse-grain parallelism in general-purpose programs. Search on Bibsonomy PPoPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF do-across, thread-level parallelism, coarse-grain parallelism
9Guilherme Ottoni, David I. August Communication optimizations for global multi-threaded instruction scheduling. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF graph min-cut, communication, synchronization, data-flow analysis, multi-threading, instruction scheduling
9Yaron Weinsberg, Danny Dolev, Tal Anker, Muli Ben-Yehuda, Pete Wyckoff Tapping into the fountain of CPUs: on operating system support for programmable devices. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF operating systems, programming model, offloading
9Sebastian Herbert, Diana Marculescu Characterizing chip-multiprocessor variability-tolerance. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF frequency islands, chip-multiprocessor, process variability
9Yintian Liu, Yingming Liu, Tao Zeng, Kaikuo Xu, Rong Tang Mining Supplemental Frequent Patterns. Search on Bibsonomy ADMA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Chen-Yong Cher, Michael Gschwind Cell GC: using the cell synergistic processor as a garbage collection coprocessor. Search on Bibsonomy VEE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF BDW, SPU, explicitly managed memory hierarchies, local store, garbage collection, accelerator, SPE, coprocessor, cell, mark-sweep
9Miquel Moretó, Francisco J. Cazorla, Alex Ramírez, Mateo Valero Explaining Dynamic Cache Partitioning Speed Ups. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Zvika Guz, Idit Keidar, Avinoam Kolodny, Uri C. Weiser Nahalal: Cache Organization for Chip Multiprocessors. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Pat Conway, Bill Hughes The AMD Opteron Northbridge Architecture. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF system topology, northbridge, scalability, microarchitecture, point-to-point networking
9Mainak Chaudhuri, Mark A. Heinrich Integrated Memory Controllers with Parallel Coherence Streams. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Ruchira Sasanka, Man-Lap Li, Sarita V. Adve, Yen-Kuang Chen, Eric Debes ALP: Efficient support for all levels of parallelism for complex media applications. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF media applications, multimedia, Parallelism, SIMD, vector, TLP, DLP, data-level parallelism
9Kyueun Yi, Jean-Luc Gaudiot Architectural Implications of Cache Coherence Protocols with Network Applications on Chip MultiProcessors. Search on Bibsonomy NPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Miquel Moretó, Francisco J. Cazorla, Alex Ramírez, Mateo Valero MLP-Aware Dynamic Cache Partitioning. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Alexandra Fedorova, Margo I. Seltzer, Michael D. Smith 0001 Improving Performance Isolation on Chip Multiprocessors via an Operating System Scheduler. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Kostas Papadopoulos, Kyriakos Stavrou, Pedro Trancoso HelperCore_DB: Exploiting Multicore Technology for Databases. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Milos Milovanovic, Roger Ferrer, Osman S. Unsal, Adrián Cristal, Xavier Martorell, Eduard Ayguadé, Jesús Labarta, Mateo Valero Transactional Memory and OpenMP. Search on Bibsonomy IWOMP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF STM Library, Compiler, OpenMP, Software Transaction Memory
9Njuguna Njoroge, Jared Casper, Sewook Wee, Yuriy Teslyar, Daxia Ge, Christos Kozyrakis, Kunle Olukotun ATLAS: a chip-multiprocessor with transactional memory support. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Karin Strauss, Xiaowei Shen, Josep Torrellas Uncorq: Unconstrained Snoop Request Delivery in Embedded-Ring Multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Changkyu Kim, Simha Sethumadhavan, M. S. Govindan, Nitya Ranganathan, Divya Gulati, Doug Burger, Stephen W. Keckler Composable Lightweight Processors. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Cheng Wang 0013, Ho-Seop Kim, Youfeng Wu, Victor Ying Compiler-Managed Software-based Redundant Multi-Threading for Transient Fault Detection. Search on Bibsonomy CGO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Alaa R. Alameldeen, David A. Wood 0001 Interactions Between Compression and Prefetching in Chip Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Jörg-Christian Niemann, Christian Liß, Mario Porrmann, Ulrich Rückert 0001 A Multiprocessor Cache for Massively Parallel SoC Architectures. Search on Bibsonomy ARCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Vineet Agarwal, Jin Sun 0006, Alexander V. Mitev, Janet Meiling Wang Delay Uncertainty Reduction by Interconnect and Gate Splitting. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Liang Deng, Martin D. F. Wong, Kai-Yuan Chao, Hua Xiang 0001 Coupling-aware Dummy Metal Insertion for Lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF coupling-aware dummy metal insertion, integrated circuits manufacturing technology, resolution enhancement techniques, off-axis illumination, forbidden pitches, printability improvement, invisible dummy metal segments, lithography cost minimization, optimal algorithm, chemical mechanical polish
9Shuming Chen, Pengyong Ma FROCM: A Fair and Low-Overhead Method in SMT Processor. Search on Bibsonomy HPCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Lu Peng 0001, Jih-Kwon Peir, Tribuvan K. Prakash, Yen-Kuang Chen, David M. Koppelman Memory Performance and Scalability of Intel's and AMD's Dual-Core Processors: A Case Study. Search on Bibsonomy IPCCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Dhiraj D. Kalamkar, Mainak Chaudhuri, Mark A. Heinrich Simplifying Active Memory Clusters by Leveraging Directory Protocol Threads. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF active memory cluster, directory protocol thread, active memory address remapping, parallel reduction, coherence protocol extension, software protocol, multi-threaded node, dual-core node, active memory architecture, distributed shared memory, multiprocessor architecture, memory controller, matrix transpose
9Domingo Benitez, Juan C. Moure, Dolores Rexachs, Emilio Luque Adaptive L2 Cache for Chip Multiprocessors. Search on Bibsonomy Euro-Par Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Li Zhang 0034, Chris R. Jesshope On-Chip COMA Cache-Coherence Protocol for Microgrids of Microthreaded Cores. Search on Bibsonomy Euro-Par Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Michel Dubois 0001, Hyunyoung Lee, Lan Lin STAMP: A Universal Algorithmic Model for Next-Generation Multithreaded Machines and Systems. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Srinivas Vadlamani, Stephen F. Jenks Architectural Considerations for Efficient Software Execution on Parallel Microprocessors. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Suresh Jagannathan Weaving Atomicity Through Dynamic Dependence Tracking. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Yan Solihin, Fei Guo, Seongbeom Kim, Fang Liu Supporting Quality of Service in High-Performance Servers. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Engin Ipek, Meyrem Kirman, Nevin Kirman, José F. Martínez A Reconfigurable Chip Multiprocessor Architecture to Accommodate Software Diversity. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 792 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license