The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DFT with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1982 (22) 1983-1985 (18) 1986-1988 (27) 1989-1990 (20) 1991-1992 (19) 1993 (68) 1994 (66) 1995 (85) 1996 (82) 1997 (78) 1998 (82) 1999 (107) 2000 (108) 2001 (127) 2002 (141) 2003 (177) 2004 (165) 2005 (190) 2006 (216) 2007 (189) 2008 (214) 2009 (173) 2010 (170) 2011 (129) 2012 (161) 2013 (70) 2014 (163) 2015 (89) 2016 (106) 2017 (134) 2018 (113) 2019 (139) 2020 (111) 2021 (116) 2022 (114) 2023 (144) 2024 (24)
Publication types (Num. hits)
article(1278) book(2) data(1) incollection(4) inproceedings(2831) phdthesis(8) proceedings(33)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1714 occurrences of 747 keywords

Results
Found 4157 publication records. Showing 4157 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
27Jorge Luis Lagos-Benites, Michelangelo Grosso, Matteo Sonza Reorda, G. Audisio, M. Pipponzi, Marco Sabatini, V. A. Avantaggiati An FPGA-Emulation-Based Platform for Characterization of Digital Baseband Communication Systems. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Erik MacLean, Vijay K. Jain A Power Transmission Line Fault Distance Estimation VLSI Chip: Design and Defect Tolerance. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Chandra Babu Dara, Spyros Tragoudas, Themistoklis Haniotakis A Metric for Weight Assignment to Optimize the Performance of MOBILE Threshold Logic Gate. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Mehran Mozaffari Kermani, Arash Reyhani-Masoleh Reliable Hardware Architectures for the Third-Round SHA-3 Finalist Grostl Benchmarked on FPGA Platform. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Paolo Bernardi, Matteo Sonza Reorda, Alberto Bosio, Patrick Girard 0001, Serge Pravossoudovitch On the Modeling of Gate Delay Faults by Means of Transition Delay Faults. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Amlan Ganguly, Partha Pratim Pande, Benjamin Belzer, Alireza Nojeh A Unified Error Control Coding Scheme to Enhance the Reliability of a Hybrid Wireless Network-on-Chip. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Rudrajit Datta, Nur A. Touba X-Stacking - A Method for Reducing Control Data for Output Compaction. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Hiroshi Kutami, Yusuke Fukushima, Masaru Fukushi, Ikuko Eguchi Yairi, Takeshi Hattori Route-Aware Task Mapping Method for Fault-Tolerant 2D-Mesh Network-on-Chips. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Masato Inoue, Haruhiko Kaneko Deletion/Insertion/Reversal Error Correcting Codes for Bit-Patterned Media Recording. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Masoud Zamani, Hossein Pedram, Fabrizio Lombardi Templated-Based Asynchronous Design for Testable and Fail-Safe Operation. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Shuai Wang Characterizing System-Level Vulnerability for Instruction Caches against Soft Errors. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Masayoshi Yoshimura, Yusuke Akamine, Yusuke Matsunaga A Soft Error Tolerance Estimation Method for Sequential Circuits. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Ahmed Awad, Abdallatif S. Abu-Issa, Said Hamdioui Reducing Test Power for Embedded Memories. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Vijay K. Jain, Glenn H. Chapman Enhanced Defect Tolerance through Matrixed Deployment of Intelligent Sensors for the Smart Power Grid. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Dan Alexandrescu, Enrico Costenaro, Michael Nicolaidis A Practical Approach to Single Event Transients Analysis for Highly Complex Designs. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Daniele Giaffreda, Martin Omaña 0001, Daniele Rossi 0001, Cecilia Metra Model for Thermal Behavior of Shaded Photovoltaic Cells under Hot-Spot Condition. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Daniel B. Limbrick, Suge Yue, William H. Robinson, Bharat L. Bhuva Impact of Synthesis Constraints on Error Propagation Probability of Digital Circuits. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Geunho Cho, Fabrizio Lombardi On the Delay Analysis of Defective CNTFETs with Undeposited CNTs. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Sreenivas Gangadhar, Spyros Tragoudas A Probabilistic Approach to Diagnose SETs. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Glenn H. Chapman, Jenny Leung, Ana I. L. Namburete, Israel Koren, Zahava Koren Predicting Pixel Defect Rates Based on Image Sensor Parameters. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27 25th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, DFT 2010, Kyoto, Japan, October 6-8, 2010 Search on Bibsonomy DFT The full citation details ... 2010 DBLP  BibTeX  RDF
27Irith Pomeranz, Sudhakar M. Reddy Gradual Diagnostic Test Generation Based on the Structural Distance between Indistinguished Fault Pairs. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Kazuteru Namba, Hideo Ito Soft Error Tolerant BILBO FF. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Marc Hunger, Sybille Hellebrand The Impact of Manufacturing Defects on the Fault Tolerance of TMR-Systems. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Salvatore Campagna, Moazzam Hussain, Massimo Violante Hypervisor-Based Virtual Hardware for Fault Tolerance in COTS Processors Targeting Space Applications. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Pritish Narayanan, Michael Leuchtenburg, Jorge Kina, Prachi Joshi, Pavan Panchapakeshan, Chi On Chui, Csaba Andras Moritz Parameter Variability in Nanoscale Fabrics: Bottom-Up Integrated Exploration. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Cristiana Bolchini, Antonio Miele Reliability-Driven System-Level Synthesis of Embedded Systems. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Bishnu Prasad Das, Hidetoshi Onodera Warning Prediction Sequential for Transient Error Prevention. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Daniele Rossi 0001, Martin Omaña 0001, Cecilia Metra Transient Fault and Soft Error On-die Monitoring Scheme. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Cristiana Bolchini, Luca Fossati, David Merodio Codinachs, Antonio Miele, Chiara Sandionigi A Reliable Reconfiguration Controller for Fault-Tolerant Embedded Systems on Multi-FPGA Platforms. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Yoshiyuki Nakamura, Masashi Tanaka A Multi-dimensional Iddq Testing Method Using Mahalanobis Distance. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Vijay K. Jain, Glenn H. Chapman Massively Deployable Intelligent Sensors for the Smart Power Grid. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Yusuke Fukushima, Masaru Fukushi, Ikuko Eguchi Yairi, Takeshi Hattori A Hardware-Oriented Fault-Tolerant Routing Algorithm for Irregular 2D-Mesh Network-on-Chip without Virtual Channels. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Nor Zaidi Haron, Said Hamdioui High-Performance Cluster-Fault Tolerance Scheme for Hybrid Nanoelectronic Memories. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Nor Azura Zakaria, Edward V. Bautista Jr., Suhaimi Bahisham Jusoh, Weng Fook Lee, Xiaoqing Wen Case Studies on Transition Fault Test Generation for At-speed Scan Testing. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Hassan Ebrahimi, Morteza Saheb Zamani, Seyyed Ahmad Razavi A Switch Box Architecture to Mitigate Bridging and Short Faults in SRAM-Based FPGAs. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Bijan Ansari, Ingrid Verbauwhede A Hybrid Scheme for Concurrent Error Detection of Multiplication over Finite Fields. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Lizhen Yu, Jeffrey Hung, Boryau Sheu, Bill Huynh, Loc Nguyen, Shianling Wu, Laung-Terng Wang, Xiaoqing Wen Hybrid Built-In Self-Test Architecture for Multi-port Static RAMs. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Kunihiro Asada, Makoto Ikeda, Benjamin Stefan Devlin, Taku Sogabe Self-Synchrounous Circuits with Completion/Error Detection as a Candidate of Future LSI Resilient for PVT Variations and Aging. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Ming Zhu, Liyi Xiao, Shuhao Li, Yanjing Zhang Efficient Two-Dimensional Error Codes for Multiple Bit Upsets Mitigation in Memory. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Zahra Mashreghian Arani, Masoud Hashempour, Fabrizio Lombardi An Analytical Error Model for Pattern Clipping in DNA Self-Assembly. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Kensuke Tai, Masato Kitakami Prolongation of Lifetime and the Evaluation Method of Dependable SSD. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Luca Amati, Cristiana Bolchini, Fabio Salice Test Selection Policies for Faster Incremental Fault Detection. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Navaneeth Rameshan, Vijay Laxmi, Manoj Singh Gaur, Mushtaq Ahmed, Krishan Kumar Paliwal Minimal Path, Fault Tolerant, QoS Aware Routing with Node and Link Failure in 2-D Mesh NoC. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Shianling Wu, Laung-Terng Wang, Lizhen Yu, Hiroshi Furukawa, Xiaoqing Wen, Wen-Ben Jone, Nur A. Touba, FeiFei Zhao, Jinsong Liu, Hao-Jan Chao, Fangfang Li, Zhigang Jiang Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Daisaku Seto, Minoru Watanabe Recovery Method for a Laser Array Failure on Dynamic Optically Reconfigurable Gate Arrays. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Geunho Cho, Fabrizio Lombardi, Yong-Bin Kim Modelling a CNTFET with Undeposited CNT Defects. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Tatsuya Suto, Kenji Ichijo, Yoshio Yoshioka Design and Evaluation of Burst-Mode Asynchronous 8-Bit Microprocessor Using Standard FPGA Development System. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Nobuyasu Kanekawa Industrial Approach for Dependability. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Yasuo Sato Circuit Failure Prediction by Field Test - A New Task of Testing. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Pilin Junsangsri, Fabrizio Lombardi Time/Temperature Degradation of Solar Cells under the Single Diode Model. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Takashi Aikyo Test Challenge for Deep Sub-micron Era - Test & Diagnosis Platform: STARCAD-Clouseau. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Tomoyuki Nagase, Kenji Ichijo, Akiko Narita, Yoshio Yoshioka CFBLT: A Closed Feed Back Loop Type Queuing System; Modeling and Analysis. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27NurQamarina MohdNoor, Azilah Saparon, Yusrina Yusof Programmable MBIST Merging FSM and Microcode Techniques Using Macro Commands. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Eduardo Luis Rhod, Luca Sterpone, Luigi Carro A New Soft-Error Resilient Voltage-Mode Quaternary Latch. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Kunihito Yamamori, Keisuke Tashiro, Masamichi Kusano, Ikuo Yoshihara A Design of Self-Defect-Compensatable Hardware Neuron for Multi-layer Neural Networks. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Payman Zarkesh-Ha, Ali Arabi M. Shahi Logic Gate Failure Characterization for Nanoelectronic EDA Tools. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Anant Narayan Hariharan, Salvatore Pontarelli, Marco Ottavi, Fabrizio Lombardi Modeling Open Defects in Nanometric Scale CMOS. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Mario Schölzel, Sebastian Müller 0005 Combining Hardware- and Software-Based Self-Repair Methods for Statically Scheduled Data Paths. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Tsung-Yeh Li, Shi-Yu Huang, Hsuan-Jung Hsu, Chao-Wen Tzeng, Chih-Tsun Huang, Jing-Jia Liou, Hsi-Pin Ma, Po-Chiun Huang, Jenn-Chyou Bor, Cheng-Wen Wu, Ching-Cheng Tien, Mike Wang AF-Test: Adaptive-Frequency Scan Test Methodology for Small-Delay Defects. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Chun-Lung Hsu, Chen-Wei Lan, Yu-Chih Lo, Yu-Sheng Huang Adaptive De-noising Filter Algorithm for CMOS Image Sensor Testing Applications. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Dan Zhu, Tun Li, Sikun Li An Approximate Soft Error Reliability Sorting Approach Based on State Analysis of Sequential Circuits. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Martin Omaña 0001, Daniele Giaffreda, Cecilia Metra, T. M. Mak, Simon Tam 0001, Asifur Rahman On-die Ring Oscillator Based Measurement Scheme for Process Parameter Variations and Clock Jitter. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Kazuteru Namba, Masatoshi Sakata, Hideo Ito Single Event Induced Double Node Upset Tolerant Latch. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Noriaki Takagi A Study of eSRAM Testability. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Xiaoqing Wen Low-Power Testing for Low-Power Devices. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Priyamvada Vijayakumar, Pritish Narayanan, Israel Koren, C. Mani Krishna 0001, Csaba Andras Moritz Incorporating Heterogeneous Redundancy in a Nanoprocessor for Improved Yield and Performance. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Mahroo Zandrahimi, Alireza Zarei, Hamid R. Zarandi A Probabilistic Method to Detect Anomalies in Embedded Systems. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Min-Ju Chan, Chun-Lung Hsu A Strategy for Interconnect Testing in Stacked Mesh Network-on-Chip. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Salvatore Pontarelli, Marco Ottavi, Adelio Salsano Error Detection and Correction in Content Addressable Memories. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Srikanth V. Devarapalli, Payman Zarkesh-Ha, Steven C. Suddarth SEU-Hardened Dual Data Rate Flip-Flop Using C-Elements. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Erik MacLean, Vijay K. Jain Analog Design for a Power Transmission Line Sensing and Analysis VLSI Chip. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Glenn H. Chapman, Jenny Leung, Israel Koren, Zahava Koren Tradeoffs in Imager Design with Respect to Pixel Defect Rates. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Navid Farazmand, Masoud Zamani, Mehdi Baradaran Tahoori Online Multiple Fault Detection in Reversible Circuits. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Osnat Keren, Ilya Levin, Mark G. Karpovsky Duplication Based One-to-Many Coding for Trojan HW Detection. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Dimitris Gizopoulos, Susumu Horiguchi, Spyros Tragoudas, Mohammad Tehranipoor (eds.) 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, DFT 2009, Chicago, Illinois, USA, October 7-9, 2009 Search on Bibsonomy DFT The full citation details ... 2009 DBLP  BibTeX  RDF
27Naveed A. Sherwani Dreams, Plans, and Journey of Reaching Perfect Predictability and Reliability in ASICs. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Sybille Hellebrand, Marc Hunger Are Robust Circuits Really Robust? Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Li-C. Wang Data Learning Techniques for Functional/System Fmax Prediction. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Marcelo Lubaszewski Can Functional Test Achieve Low-cost Full Coverage of NoC Faults? Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Irith Pomeranz, Sudhakar M. Reddy On-chip Generation of the Second Primary Input Vectors of Broadside Tests. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Norman P. Jouppi Resilience Challenges for Exascale Systems. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Snehal Udar, Dimitri Kagaris Minimizing Observation Points for Fault Location. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Shih-Hsin Hu, Tung-Yeh Wu, Jacob A. Abraham SNR-Aware Error Detection for Low-Power Discrete Wavelet Lifting Transform in JPEG 2000. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Xiaojun Ma, Masoud Hashempour, Yong-Bin Kim, Fabrizio Lombardi Errors in DNA Self-Assembly by Synthesized Tile Sets. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27D. M. H. Walker Challenges in Delay Testing of Integrated Circuits. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Patrick J. Eibl, Andrew D. Cook, Daniel J. Sorin Reduced Precision Checking for a Floating Point Adder. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Matteo Sonza Reorda, Massimo Violante, Cristina Meinhardt, Ricardo Reis 0001 An On-board Data-Handling Computer for Deep-Space Exploration Built Using Commercial-Off-the-Shelf SRAM-Based FPGAs. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Nastaran Nemati, Amirhossein Simjour, Amirali Ghofrani, Zainalabedin Navabi Optimizing Parametric BIST Using Bio-inspired Computing Algorithms. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Sheng Lin 0006, Yong-Bin Kim, Fabrizio Lombardi A Novel Hardened Design of a CMOS Memory Cell at 32nm. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Luca Amati, Cristiana Bolchini, Laura Frigerio, Fabio Salice, William Eklow, Arnold Suvatne, Eugenio Brambilla, Federico Franzoso, Michele Martin An Incremental Approach to Functional Diagnosis. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Irith Pomeranz, Sudhakar M. Reddy Hazard-Based Detection Conditions for Improved Transition Fault Coverage of Functional Test Sequences. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27David Wolpert 0001, Paul Ampadu A Sensor to Detect Normal or Reverse Temperature Dependence in Nanoscale CMOS Circuits. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Yueran Gao, Haibo Wang 0005 A Reconfigurable ADC Circuit with Online-Testing Capability and Enhanced Fault Tolerance. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Yehua Su, Wenjing Rao Defect-Tolerant Logic Mapping on Nanoscale Crossbar Architectures and Yield Analysis. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Qiaoyan Yu, Paul Ampadu Dual-Layer Cooperative Error Control for Reliable Nanoscale Networks-on-Chip. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Jianwei Dai, Lei Wang 0003 A Study of Side-Channel Effects in Reliability-Enhancing Techniques. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Takumi Hoshi, Kazuteru Namba, Hideo Ito Testing of Switch Blocks in Three-Dimensional FPGA. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Stelios Neophytou, Maria K. Michael, Kyriakos Christou Generating Diverse Test Sets for Multiple Fault Detections Based on Fault Cone Partitioning. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Aaron Dingler, M. Jafar Siddiq, Michael T. Niemier, Xiaobo Sharon Hu, M. Tanvir Alam, Gary H. Bernstein, Wolfgang Porod Controlling Magnetic Circuits: How Clock Structure Implementation will Impact Logical Correctness and Power. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 4157 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license