The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DFT"( http://dblp.L3S.de/Venues/DFT )

URL (DBLP): http://dblp.uni-trier.de/db/conf/dft

Publication years (Num. hits)
1993 (44) 1994 (34) 1995 (35) 1996 (40) 1997 (37) 1998 (42) 1999 (46) 2000 (45) 2001 (56) 2002 (46) 2003 (72) 2004 (57) 2005 (67) 2006 (64) 2007 (57) 2008 (64) 2009 (57) 2010 (55) 2011 (57) 2012 (42) 2014 (51) 2016 (32) 2017 (40) 2018 (24) 2019 (34) 2020 (36) 2021 (37) 2022 (31) 2023 (47)
Publication types (Num. hits)
inproceedings(1320) proceedings(29)
Venues (Conferences, Journals, ...)
DFT(1349)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 455 occurrences of 272 keywords

Results
Found 1349 publication records. Showing 1349 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Yoonjae Huh, Yoon-Hwa Choi Module Grouping for Defect Tolerance in Nanoscale Memory. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Andrey V. Zykov, Gustavo de Veciana Exploring Density-Reliability Tradeoffs on Nanoscale Substrates: When do smaller less reliable devices make sense?. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Oscar Kuiken, Xiao Zhang 0002, Hans G. Kerkhoff Built-in-Self-Diagnostics for a NoC-Based Reconfigurable IC for Dependable Beamforming Applications. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Kartik Mohanram Error Detection and Tolerance for Scaled Electronic Technologies. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Glenn H. Chapman, Vijay K. Jain Defect Tolerance for a Capacitance Based Nanoscale Biosensor. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Syed Zafar Shazli, Mehdi Baradaran Tahoori Obtaining Microprocessor Vulnerability Factor Using Formal Methods. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Phil Nigh The Evolving Role of Test ... it is now a "Value Add" Operation. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz, Sudhakar M. Reddy A-Diagnosis: A Complement to Z-Diagnosis. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Jorge Semião, Juan J. Rodríguez-Andina, Fabian Vargas 0001, Marcelino Bicho Dos Santos, Isabel C. Teixeira, João Paulo Teixeira 0001 Improving the Tolerance of Pipeline Based Circuits to Power Supply or Temperature Variations. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Monica Alderighi, Fabio Casini, Sergio D'Angelo, Marcello Mancini, Sandro Pastore, Giacomo R. Sechi, Roland Weigand Evaluation of Single Event Upset Mitigation Schemes for SRAM Based FPGAs Using the FLIPPER Fault Injection Platform. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Haruhiko Kaneko, Eiji Fujiwara Reconstruction of Erasure Correcting Codes for Dependable Distributed Storage System without Spare Disks. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Sybille Hellebrand, Christian G. Zoellin, Hans-Joachim Wunderlich, Stefan Ludwig, Torsten Coym, Bernd Straube A Refined Electrical Model for Particle Strikes and its Impact on SEU Prediction. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Young Bok Kim, Yong-Bin Kim Fault Tolerant Source Routing for Network-on-Chip. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Alfredo Benso, Alberto Bosio, Stefano Di Carlo, Riccardo Mariani A Functional Verification Based Fault Injection Environment. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Michele Favalli, Marcello Dalpasso High Quality Test Vectors for Bridging Faults in the Presence of IC's Parameters Variations. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Masoud Hashempour, Zahra Mashreghian Arani, Fabrizio Lombardi Error Tolerance of DNA Self-Healing Assemblies by Puncturing. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Hiroshi Takahashi, Yoshinobu Higami, Toru Kikkawa, Takashi Aikyo, Yuzo Takamatsu, Hiroyuki Yotsuyanagi, Masaki Hashizume Test Generation and Diagnostic Test Generation for Open Faults with Considering Adjacent Lines. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Mehdi Kamal, Somayyeh Koohi, Shaahin Hessabi Empirical Analysis of the Dependence of Test Power, Delay, Energy and Fault Coverage on the Architecture of LFSR-Based TPGs. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Ilya Levin, Benjamin Abramov, Vladimir Ostrovsky Reduction of Fault Latency in Sequential Circuits by using Decomposition. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Cristiana Bolchini, Antonio Miele, Marco D. Santambrogio TMR and Partial Dynamic Reconfiguration to mitigate SEU faults in FPGAs. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Michele Favalli Delay Fault Detection Problems in Circuits Featuring a Low Combinational Depth. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Swapnil Bahl A Sharable Built-in Self-Repair for Semiconductor Memories with 2-D Redundancy Schema. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Laura Frigerio, Fabio Salice RAM-Based Fault Tolerant State Machines for FPGAs. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Jing Huang 0001, Xiaojun Ma, Cecilia Metra, Fabrizio Lombardi Testing Reversible One-Dimensional QCA Arrays for Multiple Faults. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Erik Schüler, Adão Antônio de Souza Jr., Luigi Carro Spare Parts in Analog Circuits: A Filter Example. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Cristiana Bolchini, Yong-Bin Kim, Adelio Salsano, Nur A. Touba (eds.) 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), 26-28 September 2007, Rome, Italy. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  BibTeX  RDF
1Helia Naeimi, André DeHon Fault Secure Encoder and Decoder for Memory Applications. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Stelios Neophytou, Maria K. Michael Hierarchical Fault Compatibility Identification for Test Generation with a Small Number of Specified Bits. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Marco Ottavi, Hamidreza Hashempour, Vamsi Vankamamidi, Faizal Karim, Konrad Walus, André Ivanov On the Error Effects of Random Clock Shifts in Quantum-Dot Cellular Automata Circuits. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Mojtaba Valinataj, Saeed Safari Fault Tolerant Arithmetic Operations with Multiple Error Detection and Correction. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Weidong Kuang, Casto Manuel Ibarra, Peiyi Zhao Soft Error Hardening for Asynchronous Circuits. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Abhijit Jas, Srinivas Patil Analysis of Specified Bit Handling Capability of Combinational Expander Networks. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Andrea Manuzzato, Paolo Rech, Simone Gerardin, Alessandro Paccagnella, Luca Sterpone, Massimo Violante Sensitivity Evaluation of TMR-Hardened Circuits to Multiple SEUs Induced by Alpha Particles in Commercial SRAM-Based FPGAs. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Jozsef Dudas, Michelle L. La Haye, Jenny Leung, Glenn H. Chapman A Fault-Tolerant Active Pixel Sensor to Correct In-Field Hot Pixel Defects. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Ravi Bonam, Yong-Bin Kim, Minsu Choi Defect-Tolerant Gate Macro Mapping & Placement in Clock-Free Nanowire Crossbar Architecture. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz, Sudhakar M. Reddy Semi-Concurrent On-Line Testing of Transition Faults Through Output Response Comparison of Identical Circuits. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Avijit Dutta, Nur A. Touba Reliable Network-on-Chip Using a Low Cost Unequal Error Protection Code. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Michele Portolan, Régis Leveugle Effective Checkpoint and Rollback Using Hardware/OS Collaboration. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Salvatore Pontarelli, Luca Sterpone, Gian Carlo Cardarilli, Marco Re, Matteo Sonza Reorda, Adelio Salsano, Massimo Violante Optimization of Self Checking FIR filters by means of Fault Injection Analysis. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Jenny Leung, Jozsef Dudas, Glenn H. Chapman, Israel Koren, Zahava Koren Quantitative Analysis of In-Field Defects in Image Sensor Arrays. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Martin Straka, Jiri Tobola, Zdenek Kotásek Checker Design for On-line Testing of Xilinx FPGA Communication Protocols. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Jorge Luis Lagos-Benites, Davide Appello, Paolo Bernardi, Michelangelo Grosso, Danilo Ravotto, Edgar E. Sánchez, Matteo Sonza Reorda An Effective Approach for the Diagnosis of Transition-Delay Faults in SoCs, based on SBST and Scan Chains. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Takashi Aikyo, Hiroshi Takahashi, Yoshinobu Higami, Junichi Ootsu, Kyohei Ono, Yuzo Takamatsu Timing-Aware Diagnosis for Small Delay Defects. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Rani S. Ghaida, Payman Zarkesh-Ha Estimation of Electromigration-Aggravating Narrow Interconnects Using a Layout Sensitivity Model. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Piotr Zajac, Jacques Henri Collet Production Yield and Self-Configuration in the Future Massively Defective Nanochips. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Anjela Yu. Matrosova, Ekaterina Loukovnikova, Sergei Ostanin, Alexandra Zinchuk, Ekaterina Nikolaeva Test Generation for Single and Multiple Stuck-at Faults of a Combinational Circuit Designed by Covering Shared ROBDD with CLBs. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Yoon-Hwa Choi, Myeong-Hyeon Lee A Defect-Tolerant Molecular-Based Memory Architecture. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Abderrahim Doumar, Kentaroh Katoh, Hideo Ito Fault Tolerant SoC Architecture Design for JPEG2000 Using Partial Reconfigurability. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Paolo Maistri, Pierre Vanhauwaert, Régis Leveugle Evaluation of Register-Level Protection Techniques for the Advanced Encryption Standard by Multi-Level Fault Injections. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Giovanni Beltrame, Cristiana Bolchini, Luca Fossati, Antonio Miele, Donatella Sciuto A Framework for Reliability Assessment and Enhancement in Multi-Processor Systems-On-Chip. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Francesco Regazzoni 0001, Thomas Eisenbarth 0001, Johann Großschädl, Luca Breveglieri, Paolo Ienne, Israel Koren, Christof Paar Power Attacks Resistance of Cryptographic S-Boxes with Added Error Detection Circuits. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Timothy J. Dysart, Peter M. Kogge Probabilistic Analysis of a Molecular Quantum-Dot Cellular Automata Adder. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Mario García-Valderas, Raúl Fernández Cardenal, Celia López-Ongil, Marta Portela-García, Luis Entrena SET Emulation Under a Quantized Delay Model. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1George Xenoulis, Mihalis Psarakis, Dimitris Gizopoulos, Antonis M. Paschalis On-Line Periodic Self-Testing of High-Speed Floating-Point Units in Microprocessors. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Waleed K. Al-Assadi, Sindhu Kakarla Testing of Asynchronous NULL Conventional Logic (NCL) Circuits in Synchronous-Based Design. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Takashi Ikeda, Kazuteru Namba, Hideo Ito Soft Error Hardened Latch Scheme for Enhanced Scan Based Delay Fault Testing. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Masaru Fukushi, Susumu Horiguchi, Luke Demoracski, Fabrizio Lombardi A Scalable Framework for Defect Isolation of DNA Self-assemlbled Networks. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Costas Argyrides, Hamid R. Zarandi, Dhiraj K. Pradhan Matrix Codes: Multiple Bit Upsets Tolerant Method for SRAM Memories. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Hossein Asadi 0001, Mehdi Baradaran Tahoori, Chandra Tirumurti Estimating Error Propagation Probabilities with Bounded Variances. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Riccardo Mariani, Peter Fuhrmann Comparing fail-safe microcontroller architectures in light of IEC 61508. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Mahmut Yilmaz, Albert Meixner, Sule Ozev, Daniel J. Sorin Lazy Error Detection for Microprocessor Functional Units. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Mandar V. Joshi, Waleed Al-Assadi Nanofabric PLA architecture with Redundancy Enhancement. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Michelangelo Grosso, Maurizio Rebaudengo, Matteo Sonza Reorda Safety Evaluation of NanoFabrics. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Armin Alaghi, Naghmeh Karimi, Mahshid Sedghi, Zainalabedin Navabi Online NoC Switch Fault Detection and Diagnosis Using a High Level Fault Mode. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Valeriu Beiu, Walid Ibrahim, Y. A. Alkhawwar, Mawahib H. Sulieman Gate Failures Effectively Shape Multiplexing. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Ondrej Novák, Zdenek Plíva, Jiri Jenícek, Zbynek Mader, Michal Jarkovský Self Testing SoC with Reduced Memory Requirements and Minimized Hardware Overhead. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Yu-Jen Huang, Da-Ming Chang, Jin-Fu Li 0001 A Built-In Redundancy-Analysis Scheme for Self-Repairable RAMs with Two-Level Redundancy. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Maurizio Rebaudengo, Luca Sterpone, Massimo Violante, Cristiana Bolchini, Antonio Miele, Donatella Sciuto Combined software and hardware techniques for the design of reliable IP processors. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Hangkyu Lee, Suriyaprakash Natarajan, Srinivas Patil, Irith Pomeranz Selecting High-Quality Delay Tests for Manufacturing Test and Debug. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Abhijit Jas, Yi-Shing Chang, Sreejit Chakravarty An Approach to Minimizing Functional Constraints. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Tian Xia, Stephen Wyatt, Rupert Ho Employing On-Chip Jitter Test Circuit for Phase Locked Loop Self-Calibration. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Avijit Dutta, Nur A. Touba Synthesis of Efficient Linear Test Pattern Generators. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1André V. Fidalgo, Gustavo R. Alves, José M. Ferreira 0001 Real Time Fault Injection Using Enhanced OCD -- A Performance Analysis. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Ilia Polian, Bernd Becker 0001, Masato Nakasato, Satoshi Ohtake, Hideo Fujiwara Low-Cost Hardening of Image Processing Applications Against Soft Errors. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Di Mu, Tian Xia, Hao Zheng 0001 Data Dependent Jitter Characterization Based on Fourier Analysis. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Akhil Garg 0001, Prashant Dubey Fuse Area Reduction based on Quantitative Yield Analysis and Effective Chip Cost. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Compression and Yield, Memory, Repair, Fuse
1Partha Pratim Pande, Amlan Ganguly, Brett Feero, Benjamin Belzer, Cristian Grecu Design of Low power & Reliable Networks on Chip through joint crosstalk avoidance and forward error correction coding. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Minsu Choi, Myungsu Choi, Zachary D. Patitz, Nohpill Park Efficient and Robust Delay-Insensitive QCA (Quantum-Dot Cellular Automata) Design. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Hiroshi Takahashi, Shuhei Kadoyama, Yoshinobu Higami, Yuzo Takamatsu, Koji Yamazaki, Takashi Aikyo, Yasuo Sato Effective Post-BIST Fault Diagnosis for Multiple Faults. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Fengming Zhang, Warren Necoechea, Peter Reiter, Yong-Bin Kim, Fabrizio Lombardi Load Board Designs Using Compound Dot Technique and Phase Detector for Hierarchical ATE Calibrations. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Krishnendu Chakrabarty Reconfiguration-Based Defect Tolerance for Microfluidic Biochips. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  BibTeX  RDF
1Hiroyuki Ohde, Haruhiko Kaneko, Eiji Fujiwara Low-Density Triple-Erasure Correcting Codes for Dependable Distributed Storage Systems. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Ramyanshu Datta, Jacob A. Abraham, Abdulkadir Utku Diril, Abhijit Chatterjee, Kevin J. Nowka Adaptive Design for Performance-Optimized Robustness. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Byunghyun Jang, Yong-Bin Kim, Fabrizio Lombardi Error Tolerance of DNA Self-Assembly by Monomer Concentration Control. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Jozsef Dudas, Cory Jung, Linda Wu, Glenn H. Chapman, Israel Koren, Zahava Koren On-Line Mapping of In-Field Defects in Image Sensor Arrays. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Hamidreza Hashempour, Fabrizio Lombardi A Novel Methodology for Functional Test Data Compression. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Sverre Wichlund, Frank Berntsen, Einar J. Aas Reducing ATE Bandwidth and memory requirements: A diagnosis friendly scan test response compactor. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Xiaojun Ma, Jing Huang 0001, Cecilia Metra, Fabrizio Lombardi Testing Reversible 1D Arrays for Molecular QCA. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF emerging technologies, Reversible computing, QCA
1Sanghoan Chang, Gwan Choi Timing Failure Analysis of Commercial CPUs Under Operating Stress. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Sandeep Dechu, Manoj Kumar Goparaju, Spyros Tragoudas A Metric of Tolerance for the Manufacturing Defects of Threshold Logic Gates. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Chuen-Song Chen, Jien-Chung Lo, Tian Xia Equivalent IDDQ Tests for Systems with Regulated Power Supply. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Markus Ferringer, Gottfried Fuchs, Andreas Steininger, Gerald Kempf VLSI Implementation of a Fault-Tolerant Distributed Clock Generation. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Carlos Arthur Lang Lisbôa, Luigi Carro, Matteo Sonza Reorda, Massimo Violante Online hardening of programs against SEUs and SETs. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Narendra Devta-Prasanna, Arun Gunda, P. Krishnamurthy, Sudhakar M. Reddy, Irith Pomeranz Test Generation for Open Defects in CMOS Circuits. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Zhiyuan He 0002, Zebo Peng, Petru Eles, Paul M. Rosinger, Bashir M. Al-Hashimi Thermal-Aware SoC Test Scheduling with Test Set Partitioning and Interleaving. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Mehran Mozaffari Kermani, Arash Reyhani-Masoleh Parity-Based Fault Detection Architecture of S-box for Advanced Encryption Standard. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Kristian Granhaug, Snorre Aunet Improving Yield and Defect Tolerance in Multifunction Subthreshold CMOS Gates. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Vijay K. Jain, Glenn H. Chapman Defect Tolerant and Energy Economized DSP Plane of a 3-D Heterogeneous SoC. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 3D Heterogeneous sensor, redundancy and reconfiguration, energy economization, heterogeneous SOC, J-platform, defect tolerance
1Álisson Michels, Lorenzo Petroli, Carlos Arthur Lang Lisbôa, Fernanda Gusmão de Lima Kastensmidt, Luigi Carro SET Fault Tolerant Combinational Circuits Based on Majority Logic. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Geewhun Seok, Il-soo Lee, Tony Ambler, Baxter F. Womack An Efficient Scan Chain Partitioning Scheme with Reduction of Test Data under Routing Constraint. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 1349 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license