The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ESSCIRC"( http://dblp.L3S.de/Venues/ESSCIRC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/esscirc

Publication years (Num. hits)
2003 (175) 2004 (115) 2005 (128) 2007 (121) 2008 (122) 2009 (113) 2010 (124) 2011 (133) 2012 (121) 2013 (109) 2014 (113) 2015 (102) 2016 (124) 2017 (96) 2018 (94) 2019 (97) 2021 (125) 2022 (132) 2023 (129)
Publication types (Num. hits)
inproceedings(2254) proceedings(19)
Venues (Conferences, Journals, ...)
ESSCIRC(2273)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 2273 publication records. Showing 2273 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Thibaut Gurne, Maarten Strackx, Maarten Tytgat, Jan Cools, Patrick Reynaert A 20Gbps 1.2GHz full-duplex integrated AFE in 28nm CMOS for copper access. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mohammad Amayreh, Yiannos Manoli, Matthias Keller A 1.85 fA/√Hz fully integrated read-out interface for sub-pA current sensing applications. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Simone Del Cesta, Andrea Ria, Roberto Simmarano, Massimo Piotto, Paolo Bruschi A compact programmable differential voltage reference with unbuffered 4 mA output current capability and ±0.4 % untrimmed spread. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lorenzo Pedala, Cagri Gurleyuk, Sining Pan, Fabio Sebastiano, Kofi A. A. Makinwa A frequency-locked loop based on an oxide electrothermal filter in standard CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Minuk Heo, Sunghyun Bae, Jayeol Lee, Cheonsu Kim, Minjae Lee Quantizer-less proportional path fractional-N digital PLL with a low-power high-gain time amplifier and background multi-point spur calibration. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mingu Kang, Sujan K. Gonugondla, Naresh R. Shanbhag A 19.4 nJ/decision 364K decisions/s in-memory random forest classifier in 6T SRAM array. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Henning Schütz, Stefan Gambach, Hans Kaim, Albrecht Rothermel Pixel array with 5×5 spatial highpass filter for a retinal implant. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sven Mattisson Overview of 5G requirements and future wireless networks. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Guan-Cheng Wang, Yan Zhu 0001, Chi-Hang Chan, Seng-Pan U, Rui Paulo Martins A missing-code-detection gain error calibration achieving 63dB SNR for an 11-bit ADC. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sirma Orguc, Harneet Singh Khurana, Hae-Seung Lee, Anantha P. Chandrakasan 0.3 V ultra-low power sensor interface for EMG. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Joao Pedro Cerqueira, Mingoo Seok A 0.17-mm2 3.19-nJ/transform 256-point fast fourier transform core based on spatiotemporally fine-grained active leakage suppression. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Harijot Singh Bindra, Anne-Johan Annema, Simon M. Louwsma, Ed J. M. van Tuijl, Bram Nauta An energy reduced sampling technique applied to a 10b 1MS/s SAR ADC. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hechen Wang, Fa Foster Dai A 14-Bit, 1-ps resolution, two-step ring and 2D Vernier TDC in 130nm CMOS technology. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1 43rd IEEE European Solid State Circuits Conference, ESSCIRC 2017, Leuven, Belgium, September 11-14, 2017 Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  BibTeX  RDF
1Yizhe Hu, Teerachot Siriburanon, Robert Bogdan Staszewski A 30-GHz class-F23 oscillator in 28nm CMOS using harmonic extraction and achieving 120 kHz 1/f3 corner. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Takashi Oshima, Taizo Yamawaki, Koji Maeda A 0.11mm2 164dB-FOM 0.18μm CMOS pipelined ADC with novel passive amplification. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Henna Ruokamo, Lauri Hallman, Harri Rapakko, Juha Kostamovaara An 80 × 25 pixel CMOS single-photon range image sensor with a flexible on-chip time gating topology for solid state 3D scanning. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Bo Zhao 0003, Yong Lian 0001, Ali M. Niknejad, Chun-Huat Heng A low-power compact IEEE 802.15.6 compatible human body communication transceiver with digital sigma-delta IIR mask shaping. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Preet Garcha, Dina El-Damak, Nachiket V. Desai, Jorge Troncoso, Erika Mazotti, Joyce Mullenix, Shaoping Tang, Django Trombley, Dennis Buss, Jeffrey H. Lang, Anantha P. Chandrakasan A 25 mV-startup cold start system with on-chip magnetics for thermal energy harvesting. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Guenole Lallement, Fady Abouzeid, Martin Cochet, Jean-Marc Daveau, Philippe Roche, Jean-Luc Autran A 2.7pJ/cycle 16MHz SoC with 4.3nW power-off ARM Cortex-M0+ core in 28nm FD-SOI. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Athanasios Ramkaj, Maarten Strackx, Michiel Steyaert, Filip Tavernier A 36.4dB SNDR @ 5GHz 1.25GS/s 7b 3.56mW single-channel SAR ADC in 28nm bulk CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Giuseppe E. Biccario, Massimo de Vittorio, Stefano D'Amico A 2.4μW input power electronic interface circuit for piezoelectric MEMS harvesters. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Dennis Oland Larsen, Martin Vinter, Ivan H. H. Jørgensen Switched capacitor DC-DC converter with switch conductance modulation and Pesudo-fixed frequency control. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Anthony Quelen, Franck Badets, Gaël Pillonnet A sub-100nW power supply unit embedding untrimmed timing and voltage references for duty-cycled μW-range load in FDSOI 28nm. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Guanhua Wang, Kexu Sun, Qing Zhang 0021, Salam Elahmadi, Ping Gui A 43.6-dB SNDR 1-GS/s single-channel SAR ADC using coarse and fine comparators with background comparator offset calibration. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Samar Elsaegh, Hans Zappe, Yiannos Manoli, Hagen Klauk, Ute Zschieschang A 1.6μW tunable organic transimpedance amplifier for photodetector applications based on gain-boosted common-gate input stage and voltage-controlled resistor with ±0.5% nonlinearity. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hyung-Gi Kim, Dong-Woo Jee A <25 μW CMOS monolithic photoplethysmographic sensor with distributed 1b delta-sigma light-to-digital convertor. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yu-Sheng Ma, Wen-Hau Yang, Yen-Ting Lin, Hsin Chen, Li-Chi Lin, Ke-Horng Chen, Chin-Long Wey, Ying-Hsi Lin, Jian-Ru Lin, Tsung-Yen Tsai, Jui-Lung Chen A low quiescent current and cross regulation single-inductor dual-output converter with stacking MOSFET driving technique. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Phillip M. Nadeau, Rabia Tugce Yazicigil, Anantha P. Chandrakasan Single-BAW multi-channel transmitter with low power and fast start-up time. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Danilo Montanari, Danilo Manstretta, Rinaldo Castello, Gerardo Castellano A 0.7-2 GHz auxiliary receiver with enhanced compression for SAW-less FDD. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kwantae Kim, Kiseok Song, Kyeongryeol Bong, Jaehyuk Lee, Kwonjoon Lee, Yongsu Lee, Unsoo Ha, Hoi-Jun Yoo A 24 μW 38.51 mΩrms resolution bio-impedance sensor with dual path instrumentation amplifier. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sebastien Leroy, Stefan Rigert, Arnaud Laville, Andrea Ajbl, Gael F. Close Integrated hall-based magnetic platform for position sensing. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hans Reyserhove, Wim Dehaene Design margin elimination in a near-threshold timing error masking-aware 32-bit ARM Cortex M0 in 40nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ramnarayanan Muthukaruppan, Tarun Mahajan, Harish Kumar Krishnamurthy, Sumedha Mangal, Am Dhanashekar, Rupak Ghayal, Vivek De A digitally controlled linear regulator for per-core wide-range DVFS of atom™ cores in 14nm tri-gate CMOS featuring non-linear control, adaptive gain and code roaming. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Seongjong Kim, Joao Pedro Cerqueira, Mingoo Seok Near-Vt adaptive microprocessor and power-management-unit system based on direct error regulation. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Pierluigi Cenci, Muhammed Bolatkale, Robert Rutten, Gerard Lassche, Kofi A. A. Makinwa, Lucien J. Breems A 28 nm 2 GS/s 5-b single-channel SAR ADC with gm-boosted StrongARM comparator. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Rohan Sehgal, Frank M. L. van der Goes, Klaas Bult A 13mW 64dB SNDR 280MS/s pipelined ADC using linearized open-loop class-AB amplifiers. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1J. Fuhrmann, J. Moreira, P. Osmann, Andreas Springer, Robert Weigel, Harald Pretl A 15-bit 28nm CMOS fully-integrated 1.6W digital power amplifier for LTE IoT. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kyoohyun Lim, Sang-Hoon Lee, Byeongmoo Moon, Hwahyeong Shin, Kisub Kang, Yongha Lee, Seungbeom Kim, Jinhyeok Lee, Hyungsuk Lee, Hyunchul Shim, Cheolhoon Sung, Geumyoung Park, Garam Lee, Minjung Kim, Seokyoung Park, Hyosun Jung, Jong-Ryul Lee A 65nm CMOS 2×2 MIMO multi-band LTE RF transceiver for small cell base stations. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yifeng Cai, Yiannos Manoli A piezoelectric energy harvester interface circuit with adaptive conjugate impedance matching, self-startup and 71% broader bandwidth. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Staffan Ek, Tony Påhlsson, Anders Carlsson, Andreas Axholt, Anna-Karin Stenman, Henrik Sjöland A 16-20 GHz LO system with 115 fs jitter for 24-30 GHz 5G in 28 nm FD-SOI CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kaushik Dasgupta, Saeid Daneshgar, Chintan Thakkar, Kunal Datta, James E. Jaussi, Bryan Casper A 25 Gb/s 60 GHz digital power amplifier in 28nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chixiao Chen, Hongwei Ding, Huwan Peng, Haozhe Zhu, Rui Ma, Peiyong Zhang, Xiaolang Yan, Yu Wang 0046, Mingyu Wang, Hao Min, C.-J. Richard Shi OCEAN: An on-chip incremental-learning enhanced processor with gated recurrent neural network accelerators. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Saurabh Agarwal, Mark Ingels, Marianna Pantouvaki, Michiel Steyaert, Philippe Absil, Joris Van Campenhout Highly integrated wavelength-locked Si photonic ring transmitter using direct monitoring of drop-port OMA. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Arvind Singh, Monodeep Kar, Sanu Mathew, Anand Rajan, Vivek De, Saibal Mukhopadhyay Improved power side channel attack resistance of a 128-bit AES engine with random fast voltage dithering. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Marco Pasotti, Marcella Carissimi, Chantal Auricchio, Donatella Brambilla, Emanuela Calvetti, Laura Capecchi, Luigi Croce, Daniele Gallinari, Cristina Mazzaglia, Vikas Rana, Riccardo Zurla, Alessandro Cabrini, Guido Torelli A 32KB 18ns random access time embedded PCM with enhanced program throughput for automotive and smart power applications. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Christopher Sutardja, Jan M. Rabaey Isolator-less near-field RFID reader for sub-cranial powering/data link of mm-sized implants. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Robin Ying, Matthew Morton, Alyosha C. Molnar A HBT-based 300 MHz-12 GHz blocker-tolerant mixer-first receiver. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Juan A. Leñero-Bardallo, José María Guerrero-Rodríguez, Lukasz Farian, Ricardo Carmona-Galán, Ángel Rodríguez-Vázquez A sun sensor implemented with an asynchronous luminance vision sensor. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Navneet Gupta, Adam Makosiej, Andrei Vladimirescu, Amara Amara, Costin Anghel 1.56GHz/0.9V energy-efficient reconfigurable CAM/SRAM using 6T-CMOS bitcell. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hung-Hsien Wu, Liang-Yun Chen, Chia-Ling Wei Wide-input-voltage-range and high-efficiency energy harvester with a 155-mV startup voltage for solar power. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hui Wang 0023, Xiaoyang Wang 0003, Jiwoong Park, Abbas Barfidokht, Joseph Wang 0002, Patrick P. Mercier A 5.5 nW battery-powered wireless ion sensing system. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Qing Dong 0001, Inhee Lee, Kaiyuan Yang 0001, David T. Blaauw, Dennis Sylvester A 1.02nW PMOS-only, trim-free current reference with 282ppm/°C from -40°C to 120°C and 1.6% within-wafer inaccuracy. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Changhyeon Kim, Kyeongryeol Bong, Injoon Hong, Kyuho Jason Lee, Sungpill Choi, Hoi-Jun Yoo An ultra-low-power and mixed-mode event-driven face detection SoC for always-on mobile applications. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yu Wu 0007, Dai Jiang, Peter J. Langlois, Richard H. Bayford, Andreas Demosthenous A CMOS current driver with built-in common-mode signal reduction capability for EIT. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Abdel Martinez Alonso, Masaya Miyahara, Akira Matsuzawa A 7GS/s direct digital frequency synthesizer with a two-times interleaved RDAC in 65nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Cheng-Hsueh Tsai, Giovanni Mangraviti, Qixian Shi, Khaled Khalaf, André Bourdoux, Piet Wambacq A 54-64.8 GHz subharmonically injection-locked frequency synthesizer with transmitter EVM between -26.5 dB and -28.8 dB in 28 nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Francesco Conzatti, Lukas Dörrer, Patrick Torta, Claus Kropf, Dirk Patzold, Jacinto San Pablo Garcia, Venerando Rallos, Norbert Schembera A CT ΔΣ ADC with 9/50MHz BW achieving 73/71dB DR designed for robust blocker tolerance in 14nm FinFET. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Stefan Shopov, Ozan D. Gurbuz, Gabriel M. Rebeiz, Sorin P. Voinigescu A 13.2-dBm, 138-GHz I/Q RF-DAC with 64-QAM and OFDM free-space constellation formation. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Atsushi Shirane, Shusuke Kawai, Hiromitsu Aoyama, Rui Ito, Toshiya Mitomo, Hiroyuki Kobayashi, Hiroshi Yoshida, Hideaki Majima, Ryuichi Fujimoto, Hiroshi Tsurumi A low voltage 0.8V RF receiver in 28nm CMOS for 5GHz WLAN. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Philipp Salz, A. Frisch, Wolfgang Penth, J. Noack, T. Kalla, Rolf Sautter, Michael Kugel, Otto A. Torreiter, G. Sapp, Mike Lee, Eric Fluhr, A. Rozenfeld, Jürgen Pille, Dieter F. Wendel A system of array families and synthesized soft arrays for the POWER9™ processor in 14nm SOI FinFET technology. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Paolo Scaramuzza, Carlo Rubino, Marc Tiebout, Michele Caruso, Markus Ortner, Andrea Neviani, Andrea Bevilacqua Class-AB and class-J 22 dBm SiGe HBT PAs for X-band radar systems. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Thomas Haine, Quoc-Khoi Nguyen, François Stas, Ludovic Moreau, Denis Flandre, David Bol An 80-MHz 0.4V ULV SRAM macro in 28nm FDSOI achieving 28-fJ/bit access energy with a ULP bitcell and on-chip adaptive back bias generation. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Juergen Wittmann, Tobias Funk, Thoralf Rosahl, Bernhard Wicht A 12-48 V wide-vin 9-15 MHz soft-switching controlled resonant DCDC converter. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hui Wang 0023, Patrick P. Mercier A 1.6%/V 124.2 pW 9.3 Hz relaxation oscillator featuring a 49.7 pW voltage and current reference generator. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Adriano Sambucco, Emiliano A. Puia Push-pull amplifier with constant transconductance for a current sense application. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jialue Wang, Yang Jiang, Johan Dijkhuis, Guido Dolmans, Hao Gao 0001, Peter G. M. Baltus A 900 MHz RF energy harvesting system in 40 nm CMOS technology with efficiency peaking at 47% and higher than 30% over a 22dB wide input power range. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Harijot Singh Bindra, Chris E. Lokin, Anne-Johan Annema, Bram Nauta A 30fJ/comparison dynamic bias comparator. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Alexander Sun, Enrique Alvarez-Fontecilla, A. G. Venkatesh, Eliah Aronoff Spencer, Drew A. Hall A 64×64 high-density redox amplified coulostatic discharge-based biosensor array in 180nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yen-Ting Lin, Wen-Hau Yang, Yu-Sheng Ma, Yan-Jiun Lai, Hung-Wei Chen, Ke-Horng Chen, Chin-Long Wey, Ying-Hsi Lin, Jian-Ru Lin, Tsung-Yen Tsai Unsymmetrical parallel switched-capacitor (UP-SC) regulator with fast searching optimum ratio technique. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ahmed Fahmy, Jun Liu 0038, Pavan Terdal, Ryan Madler, Rizwan Bashirullah, Nima Maghari A synthesizable time-based LDO using digital standard cells and analog pass transistor. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Niels Van Thienen, Patrick Reynaert A 120GHz in-band full-duplex PMF transceiver with tunable electrical-balance duplexer in 40nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Josip Mikulic, Gregor Schatzberger, Adrijan Baric A 1-MHz on-chip relaxation oscillator with comparator delay cancelation. Search on Bibsonomy ESSCIRC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chi-Cheng Ju, Tsu-Ming Liu, Yung-Chang Chang, Chih-Ming Wang, Chia-Yun Cheng, Hue-Min Lin, Chun-Chia Chen, Min-Hao Chiu, Ping Chao, Ming-Long Wu, Meng-Jye Hu, Sheng-Jen Wang, Che-Hong Chen, Shun-Hsiang Chuang, Hsiu-Yi Lin, Fu-Chun Yeh, Chia-Hung Kao, Yi-Chang Chen, Chia-Lin Ho, Yenchieh Huang, Hsiao-En Chen, Chih-Wen Yang, Hsuan-Wen Peng A 2.6mm2 0.19nJ/pixel VP9 and multi-standard decoder LSI for Android 4K TV applications. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Saad Bin Nasir, Shreyas Sen, Arijit Raychowdhury A 130nm hybrid low dropout regulator based on switched mode control for digital load circuits. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jeremy Constantin, Andrea Bonetti, Adam Teman, Thomas Christoph Müller, Lorenz Schmid, Andreas Burg DynOR: A 32-bit microprocessor in 28 nm FD-SOI with cycle-by-cycle dynamic clock adjustment. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Nicolas Butzen, Michiel Steyaert MIMO Switched-Capacitor converter using only parasitic capacitance with Scalable Parasitic Charge Redistribution. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Dongsheng Yang 0002, Wei Deng 0001, Bangan Liu, Teerachot Siriburanon, Kenichi Okada, Akira Matsuzawa An LC-DCO based synthesizable injection-locked PLL with an FoM of -250.3dB. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Loai G. Salem, James F. Buckwalter, Patrick P. Mercier A recursive house-of-cards digital power amplifier employing a λ/4-less Doherty power combiner in 65nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kan Takeuchi, Masaki Shimada, Takeshi Okagaki, Koji Shibutani, Koji Nii, Fumio Tsuchiya FEOL/BEOL wear-out estimator using stress-to-frequency conversion of voltage/temperature-sensitive ring oscillators for 28nm automotive MCUs. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Wei-Zen Chen, Po-I Kuo A ΔΣ TDC with sub-ps resolution for PLL built-in phase noise measurement. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Nandish Mehta, Chen Sun 0003, Mark T. Wade, Sen Lin, Milos A. Popovic, Vladimir Stojanovic A 12Gb/s, 8.6µApp input sensitivity, monolithic-integrated fully differential optical receiver in CMOS 45nm SOI process. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Wenjuan Guo, Nan Sun 0003 A 12b-ENOB 61µW noise-shaping SAR ADC with a passive integrator. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xiaolin Lu, Il Han Kim, Ariton E. Xhafa, Jianwei Zhou WSN for Machine Area Network applications. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tetsuya Asai, Masafumi Mori, Toshiyuki Itou, Yasuhiro Take, Masayuki Ikebe, Tadahiro Kuroda, Masato Motomura Motion-vector estimation and cognitive classification on an image sensor/processor 3D stacked system featuring ThruChip interfaces. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Khondker Zakir Ahmed, Mohammad Faisal Amir, Jong Hwan Ko, Saibal Mukhopadhyay Reconfigurable 96×128 active pixel sensor with 2.1µW/mm2 power generation and regulated multi-domain power delivery for self-powered imaging. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zhijie Chen, Masaya Miyahara, Akira Matsuzawa A stability-improved single-opamp third-order ΣΔ modulator by using a fully-passive noise-shaping SAR ADC and passive adder. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Babak Mohammadi, Oskar Andersson, Joseph Nguyen, Lorenzo Ciampolini, Andreia Cathelin, Joachim Neves Rodrigues A 128 kb single-bitline 8.4 fJ/bit 90MHz at 0.3V 7T sense-amplifierless SRAM in 28 nm FD-SOI. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Daniel Lutz, Peter Renz, Bernhard Wicht A 120/230 Vrms-to-3.3V micro power supply with a fully integrated 17V SC DCDC converter. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Qingrui Meng, Ramesh Harjani An easily extendable FFT based four-channel, four-beam receiver with progressive partial spatial filtering in 65nm. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Cheng-Ru Ho, Mike Shuo-Wei Chen Interference-induced DCO spur mitigation for digital phase locked loop in 65-nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Lorenzo Iotti, Andrea Mazzanti, Francesco Svelto A multi-core VCO and a frequency quadrupler for E-Band adaptive-modulation links in 55nm BiCMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ha Le-Thai, Adi Xhakoni, Georges G. E. Gielen A column-and-row-parallel CMOS image sensor with thermal and 1/f noise suppression techniques. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Teng Yang, Peter R. Kinget, Mingoo Seok Register file circuits and post-deployment framework to monitor aging effects in field. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Nathaniel Ross Pinckney, Dennis Sylvester, David T. Blaauw Supply boosting for high-performance processors in flip-chip packages. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hans Reyserhove, Wim Dehaene A 16.07pJ/cycle 31MHz fully differential transmission gate logic ARM Cortex M0 core in 40nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ahmad Bahai Ultra-low energy systems: Analog to information. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zule Xu, Anugerah Firdauzi, Masaya Miyahara, Kenichi Okada, Akira Matsuzawa A 2 GHz 3.1 mW type-I digital ring-based PLL. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kwanyeob Chae, JongRyun Choi, Shinyoung Yi, Won Lee, Sanghoon Joo, Hyunhyuck Kim, Hyungkwon Yi, Yoonjee Nam, Jinho Choi, Sanghune Park, Sanghyun Lee A 690mV 4.4Gbps/pin all-digital LPDDR4 PHY in 10nm FinFET technology. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Harsh N. Patel, Abhishek Roy 0002, Farah B. Yahya, Ningxi Liu, Benton H. Calhoun, Kazuyuki Kumeno, Makoto Yasuda, Akihiko Harada, Taiji Ema A 55nm Ultra Low Leakage Deeply Depleted Channel technology optimized for energy minimization in subthreshold SRAM and logic. Search on Bibsonomy ESSCIRC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 2273 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license